0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

如何实现SpinalHDL 环境搭建

jAUt_chip123com 来源:CSDN博客 作者:夏天望月兔 2021-08-24 14:43 次阅读

据说SpinalHDL相比chisel更具优势,这让我有了兴趣,今天开始安装搭建。平常用的linux系统的,但是Intel IDEA安装在Ubuntu上的时候总是卡的不行,就放弃了,其实SpinalHDL可以不用IDE的运行。教学文档中说需要安装的软件如下

也就是需要安装java SDK 1.8,Scala建议采用2.11.12版本 https://www.scala-lang.org/download/2.11.12.html,sbt

spinalHDL的例子我们现在下来。查看里面的build.sbt

https://codeload.github.com/SpinalHDL/SpinalTemplateSbt/zip/master

看出scala version =2.11.12

不用IDE的sbt的方式 linux版本是这样

sudo apt-get install openjdk-8-jdk

sudo apt-get install scala

echo “deb https://dl.bintray.com/sbt/debian /” | sudo tee -a /etc/apt/sources.list.d/sbt.list

sudo apt-key adv --keyserver hkp://keyserver.ubuntu.com:80 --recv 642AC823

sudo apt-get update

sudo apt-get install sbt

git clone https://github.com/SpinalHDL/SpinalTemplateSbt.git SpinalTemplateSbt

cd SpinalTemplateSbt

sbt run # select “mylib.MyTopLevelVhdl” in the menu

ls MyTopLevel.vhd

我们选择用Windows的基于IDE的开发。所以建议先下载安装好IDEA。这个网上随便看看教程就行了。容易的很。

安装Scala的插件 使用idea的plugins下载Scala,直接点击安装就行

然后Windows上装好jdk1.8 和Scala。为了sim要安装msys2 https://www.msys2.org/ 尽量安装MSYS2到C盘。下载安装软件太慢了。我上传了安装文件https://download.csdn.net/download/AS7062031/13543346

msys2-x86_64-20150916.exe

没什么好说的,双击,开始安装。这个装c盘。msys2下载文件的时候回出现下载速度奇慢说以更新为国内源。

修改etcpacman.d目录下的三个文件,参考https://mirrors.tuna.tsinghua.edu.cn/help/msys2/

mirrorlist.mingw64 文件

7b6e599a-0494-11ec-9bcf-12bb97331649.png

mirrorlist.mingw32 文件

7b9d070e-0494-11ec-9bcf-12bb97331649.png

mirrorlist.msys 文件

7bb7cc6a-0494-11ec-9bcf-12bb97331649.png

设置窗体修改颜色

目录下etc 文件 pacman.conf

将其中的 #Color 注释去掉。

7bc8a1de-0494-11ec-9bcf-12bb97331649.png

安装verilator

spinalHDL simulation教程如下

7c19eb16-0494-11ec-9bcf-12bb97331649.png

有时候会出错没有安装make

pacman -S make 安装后就可以了

设置环境变量 Path添加

7c3b242a-0494-11ec-9bcf-12bb97331649.png

C:msys64mingw32

变量C_INCLUDE_PATH

7c476b04-0494-11ec-9bcf-12bb97331649.png

变量LIBRARY_PATH

7c5eb052-0494-11ec-9bcf-12bb97331649.png

变量Path中添加如下值

7c5eb052-0494-11ec-9bcf-12bb97331649.png

责任编辑:haq

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 软件
    +关注

    关注

    67

    文章

    4345

    浏览量

    85613
  • HDL
    HDL
    +关注

    关注

    8

    文章

    322

    浏览量

    47101

原文标题:SpinalHDL 环境搭建

文章出处:【微信号:chip123com,微信公众号:芯片共享平台】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    SpinalHDL中如何快速地实现总线连接

    教你在SpinalHDL中总线连接时针对总线的部分信号位宽不同时的如何快速地实现总线连接。
    发表于 11-28 15:48 601次阅读

    spinalhdl转Verilog可读性 SpinalHDL开发流程

    SpinalHDL是基于Scala全新的硬件描述语言,解决了不少Verilog等传统HDL语言的痛点,可以快速的完成某些IP的开发,和完美的融入现有的开发流程。 诚然SpinalHDL的学习路线
    的头像 发表于 07-27 09:29 845次阅读
    <b class='flag-5'>spinalhdl</b>转Verilog可读性 <b class='flag-5'>SpinalHDL</b>开发流程

    #2024,立Flag了嘛? #在win平台搭建SpinalHDL开发环境

    难度,安装完需要配置环境变量 新建系统变量 变量名:JAVA_HOME 变量值: D:\\\\SpinalHDL\\\\JAVA\\\\jdk-17变量值需要更新为你的安装路径 新建系统变量 变量名
    发表于 01-21 10:52

    SpinalHDL实现优雅的添加待跟踪波形信号

    波形跟踪信号。在SpinalHDL里,我们可以借助blackBox及scala语言本身实现SpinalHDL环境实现对ILA的集成。上面
    发表于 06-22 14:37

    SpinalHDL中关于casez的使用

    SpinalHDL中的switch在之前的文章中曾提到过SpinalHDL中switch的使用:通常情况下,switch对应着我们日常Verilog代码中的case。像下面的代码:其生成的RTL代码
    发表于 07-06 10:59

    SpinalHDL的测试平台搭建进行说明

    代码描述了,SpinalHDL提供对仿真的支持,而基于Scala这门语言,在构建仿真环境时拥有者更丝滑的体验。这里完整的仿真环境搭建代码如如下所示:仿真执行
    发表于 07-20 14:38

    SpinalHDL是如何让仿真跑起来的

    SpinalHDL仿真环境搭建(SpinalHDL设计环境搭建参考《
    发表于 07-25 15:09

    如何在SpinalHDL里启动一个仿真

    仿真的原理,尽管我们仿真对象是我们的SpinalHDL代码生成Verilog文件交给仿真器去执行但我们的仿真环境搭建和case构建可以借助scala语言本身丰富的软件库来进行构建,这是我们用
    发表于 07-26 16:59

    SpinalHDL中仿真信号的驱动实现

    对于仿真信号的驱动,在SpinalHDL里通过“#=”方法实现:值得注意的是当我们的设计里有一个输入信号为:val a=in UInt(32 bits)在进行仿真信号驱动时,下面的写法会报错的:a#
    发表于 07-27 14:37

    在win平台搭建SpinalHDL开发环境并跑通第一个spinal project demo

    1、如何从零开始在win平台搭建SpinalHDL开发环境并跑通第一个spinal project demo需安装软件综述首先列出需要安装的软件,并逐一对这些软件的功能和其必要性进行说明.需要安装
    发表于 08-02 15:37

    SpinalHDL开发环境搭建步骤相关资料分享

    1、SpinalHDL开发环境搭建步骤开发所需软件SpinalHDL 是 Scala 语言的一个库,SpinaHDL 环境
    发表于 10-17 15:33

    基于Windows系统的SpinalHDL开发环境搭建步骤

    开发所需软件SpinaHDL环境搭建所需的软件安装包,SpinalHDL是Scala语言的一个库,开发Scala需要使用IDEA软件;JDK17、SDK:Scala2.12.15,SBT1.5.5
    发表于 10-24 15:40

    如何在Linux环境实现Python环境搭建

    本文档的主要内容详细介绍的是如何在Linux环境实现Python环境搭建
    发表于 08-24 12:12 14次下载
    如何在Linux<b class='flag-5'>环境</b>下<b class='flag-5'>实现</b>Python<b class='flag-5'>环境</b>的<b class='flag-5'>搭建</b>

    SpinalHDL中的对应关系及声明形式

    针对SpinalHDL中的两大类型Reg、Wire,来梳理下在SpinalHDL中的对应关系及声明形式。
    的头像 发表于 07-03 11:02 1149次阅读

    SpinalHDL里如何实现Sobel边缘检测

    书接上文,趁着今天休假,采用SpinalHDL做一个小的demo,看看在SpinalHDL里如何优雅的实现Sobel边缘检测。
    的头像 发表于 08-26 08:59 1010次阅读