0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

EDA软件公司飞谱电子联合国内知名专家出版专著 聚焦高频高速电路设计

芯行纪 来源:飞谱电子 作者:飞谱电子 2021-08-12 11:22 次阅读

飞谱电子联合国内知名专家出版专著

随着无线通信行业的不断发展,对设计的要求也在不断提高。如何提高系统性能、降低成本和缩短研制周期,已经成为设计者需要解决的主要问题。传统的基于工程经验或预估近似的设计方法已经无法满足实际工程的要求,而以电磁场仿真技术为基础的电子设计自动化(EDA)软件已经成为研发人员必不可少的工具,EDA几乎覆盖了通信系统设计的各个环节,包括半导体集成电路、系统集成和天线设计等整个过程。

基于物理原型的电磁场仿真软件解决方案能够准确地仿真和验证设计原型,可以使设计仿真结果与实验测试结果基本相同,从而大大缩短了产品的研制周期、降低了研制费用。目前,此类软件已经广泛应用于国防、航空、航天、汽车、船舶及机电系统设计中。

本书作者周润景是内蒙古大学电信学院自动化系研究生导师,教授,中国电子学会高级会员,IEEE/EMBS会员,多年来一直从事EDA技术的研究。 周润景教授多次获得电子工业出版社优秀作者奖以及内蒙古大学教学成果奖,发表SCI及EI收录论文60多篇,出版专著50多部;主持并参与国家自然基金4项,主持教育部项目1项、工信部项目1项、内蒙高校研究项目3项、航天科技集团项目4项、横向项目6项,参与各级项目12项。

《高频高速电路设计与仿真分析实例》这本书基于飞谱公司Rainbow Studio9.0软件,详细介绍了Rainbow系列电磁仿真软件的使用方法,不仅讲解了电磁场基础知识及其数值计算方法,还介绍了大量的仿真实例。

本书涉及有限元、边界元、物光学等多个算法引擎下的天线设计、微波/毫米波电路器件、雷达散射、电磁兼容与干扰、复杂电磁环境评估、射频电路芯片设计、PCB设计、过程弹跳射线追踪、电磁导航仿真系统、三维版图设计、三维准静态仿真分析验证等领域。 EDA工具是设计和实现各种无线电系统的基础,也是我国目前比较严重的短板领域之一。开发自主知识产权的高效电子设计EDA工具软件已成为国家战略目标,飞谱公司研发的Rainbow系列软件包含有限元、边界元、物理光学等多个算法引擎,具备从射频到太赫兹应用领域、从电学小尺寸到电学大尺寸的复杂模型的仿真分析能力,必将成为国产EDA/CAE核心软件中的重要一员,能够为解决国内高科技领域的关键难题贡献力量。

关于我们

无锡飞谱电子是一家专注发展国产EDA/CAE软件的公司,经过多年的发展和产品迭代,基于电磁场核心算法开发的专业软件工具已能够为芯片设计与制造、高速封装与集成、天线设计与布局、雷达隐身与探测等产品的开发提供快速、先进的仿真设计与分析验证,并且已广泛应用于集成电路、通信系统、国防航空、汽车电子工业领域。Rainbow系列电磁仿真软件就是由飞谱公司自主研发的三维电磁场全波分析仿真软件,它具有强大的几何建模功能、创新的电磁算法和优化技术,以及丰富的图表显示功能,为设计者提供了功能全面、易于使用的一体化集成操作环境。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 电路图
    +关注

    关注

    10210

    文章

    10644

    浏览量

    509816
  • eda
    eda
    +关注

    关注

    71

    文章

    2534

    浏览量

    170751
  • EDA技术
    +关注

    关注

    12

    文章

    169

    浏览量

    36695
  • 高速电路
    +关注

    关注

    8

    文章

    151

    浏览量

    24137
  • EDA软件
    +关注

    关注

    6

    文章

    89

    浏览量

    18585

原文标题:聚焦高频高速电路设计,促力国产EDA自主可控

文章出处:【微信号:gh_2894c3fc5359,微信公众号:芯行纪】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    为昕原理图设计EDA软件(Jupiter)试用

    为昕原理图设计EDA软件(Jupiter)是一款符合中国国情的原理图设计软件聚焦核心功能,覆盖原理图设计全流程,功能设计更智能化,界面操作更人性化,让硬件工程师使用得更愉悦、顺畅。为
    发表于 04-12 14:30

    欧洲软件公司生存环境严峻,行业领袖呼吁提供更多支持

    制造业组织Boardwave近期发表的报告揭示了欧洲在扩展软件公司规模上的困境。另据研究发现,尽管英国尚属全球软件公司之都,其上市公司市值排名前100位中的软件公司仅有一家——Sage
    的头像 发表于 03-27 16:08 103次阅读

    瑞萨电子收购软件公司Altium

    EDA巨头Synopsys(新思科技)以350亿美元收购Ansys之后,全球半导体产业再次迎来重磅并购。日本芯片制造商瑞萨电子近日宣布,将以约59亿美元的价格收购澳大利亚软件公司Altium。这一交易将成为日本买家对澳大利亚上
    的头像 发表于 02-18 18:28 877次阅读

    电子电路设计用什么软件

    Applicable Graphical Layout Editor)是一款流行的电子电路设计软件,由Autodesk公司开发。它具有友好的界面和丰富的库,可用于创建复杂的电路图和P
    的头像 发表于 01-23 13:56 1366次阅读

    新思科技350亿美元收购工业软件公司Ansys

    全球最大的半导体EDA软件提供商新思科技(Synopsys)近日宣布,将以350亿美元的现金和股票收购工业软件公司Ansys。这一交易预计将在2025年上半年完成,但需要获得股东和监管部门的批准。
    的头像 发表于 01-18 14:45 407次阅读

    上海塔革特宣布正式引进电子电路EDA设计软件TARGET3001!

    近日,上海塔革特智能科技有限公司宣布正式引进电子电路EDA设计软件TARGET3001!,成为该软件在中国的独家总代理。这一举措旨在为中国
    的头像 发表于 01-03 15:14 372次阅读

    西门子再收购一家EDA软件公司

    西门子数字化工业软件公司(Siemens Digital Industries Software)近日宣布,它已完成对Insight EDA Inc.的收购,Insight EDA Inc.是一家
    的头像 发表于 11-28 11:29 648次阅读

    西门子完成对EDA软件公司Insight EDA的收购

    电路可靠性是ic设计快速增长的市场。西门子的calibre perc是可靠性验证软件的市场领先者。insight eda的技术有助于识别和解决潜在的电路信任问题,从而帮助设计工程师进行
    的头像 发表于 11-17 09:46 389次阅读

    Keil软件公司8051单片机软件开发工具的介绍

    电子发烧友网站提供《Keil软件公司8051单片机软件开发工具的介绍.rar》资料免费下载
    发表于 10-20 16:43 2次下载
    Keil<b class='flag-5'>软件公司</b>8051单片机<b class='flag-5'>软件</b>开发工具的介绍

    国产EDA“夹缝”生存 集成电路设计和制造流程

    EDA有着“芯片之母”称号,一个完整的集成电路设计和制造流程主要包括工艺平台开发、集成电路设计和集成电路制造三个阶段,三个设计与制造的主要阶段均需要对应的
    发表于 09-28 14:31 927次阅读
    国产<b class='flag-5'>EDA</b>“夹缝”生存 集成<b class='flag-5'>电路设计</b>和制造流程

    师资培训 | 集成电路-华大九天模拟电路设计全流程EDA工具系统师资培训圆满结束

    赛思博通智能科技有限公司、北京华大九天科技股份有限公司、广州慧谷动力科技有限公司联合主办。 此次师资培训为期三天,邀请多位集成电路资深技术
    的头像 发表于 08-16 17:55 672次阅读
    师资培训 | 集成<b class='flag-5'>电路</b>-华大九天模拟<b class='flag-5'>电路设计</b>全流程<b class='flag-5'>EDA</b>工具系统师资培训圆满结束

    共建、共享开源EDA核心共性技术框架|2023开放原子全球开源峰会开源EDA分论坛成功举办

    6月11日,由开放原子开源基金会主办,openDACS工作委员会承办,深圳市华秋电子技术有限公司、芯华章科技股份有限公司协办的2023开放原子全球开源峰会开源EDA分论坛成功召开。论坛
    发表于 06-16 13:45

    工业软件细分行业—EDA研究报告

    EDA(Electronic Design Automaton,电子设计自动化)是指利用计算机软件完成大规楼集成电路设计、仿真、验证等流程的设计方式,融合了图形学、计算数学、微
    的头像 发表于 06-13 16:24 370次阅读
    工业<b class='flag-5'>软件</b>细分行业—<b class='flag-5'>EDA</b>研究报告

    什么是板级EDA软件 eda器件分几类 数字EDA和模拟EDA的区别

    板级EDA软件(PCB EDA软件)也是一种电子设计自动化(EDA
    发表于 05-03 05:42 5293次阅读

    传统电路设计eda的不同 eda技术的核心是什么

    传统电路设计采用手工方式进行,需要手绘原理图,手算电路参数,然后进行电路仿真和验证。而EDA则采用自动化工具和软件,能够实现原理图的自动生成
    发表于 04-24 17:31 1434次阅读