0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

积极重夺制造霸主地位,英特尔不玩“纳米游戏”了

话说科技 2021-08-04 15:09 次阅读

英特尔(Intel)首席执行官PatGelsinger已经受够了…他代表Intel宣布,10纳米工艺还是10纳米,但是该节点(代号SuperFin)的下一代,会叫做“Intel7”,然后再来是“Intel4”、“Intel3”,不会出现“纳米”这个字眼。而在3之后,Intel着眼于埃米(angstroms,Å)尺寸,接下来的节点会叫做“20A”与“18A”。

以上是5个工艺节点就是Intel到2025年的技术蓝图,该公司也发表了新晶体管架构──Intel称为“RibbonFET”的一种环绕式栅极(gateall-around,GAA)晶体管变体,还有利用晶圆片背面、命名为PowerVia的新型互连技术;同时,该公司亦宣布正与ASML合作,对极紫外光微(EUV)微影技术的革新做出贡献。

工艺节点的重新命名,也许看来像是一家尝试让所有人忘记他们曾经跌跌撞撞、一度曾失去领导地位的公司在一本正经地胡说八道,但是其竞争对手所使用的节点名称,却会让Intel听起来很“落伍”,更几乎是无意义的营销…所以为何他们不能重新设定命名方法?

广告

Intel一直强调,在任何既定的技术节点上,该公司的产品性能会比其他竞争对手推出的同类产品性能更好;例如其10纳米工艺相当于台积电(TSMC)的7纳米工艺。对IC设计业者来说,性能是一个关键议题,每一代工艺节点的性能需要有所提升,节点之间的进展速度也要加快。然而,众所周知Intel错过了自己订下的10纳米工艺节点量产时程(现在已经全面量产)。

失去(或被认为失去)技术领导地位已经够糟了,在工艺节点的进展路程中跌跌撞撞也很惨、甚至更不好。一家芯片制造商的客户各自有产品蓝图,如果他们的供应伙伴让他们无法达到默认的目标,对他们来说就是考虑换一家新伙伴的时候了。

这解释了为何Gelsinger自从接手Intel首席执行官,就一再宣示该公司将开始按照常规节奏推进每一代工艺节点,而且每一代节点在性能上都会有显著的性能提升。能否按时交货至关重要,如果Intel又遭遇一次无法按时完成工艺节点演进的错误,恐怕不只危及现有客户关系,对该公司力推的全新晶圆代工业务IntelFoundryServices(IFS)也会是致命打击。

Intel在日前举办的全球记者会上宣布了详细的工艺技术蓝图:

Intel7将于今年问世,并于2022年量产;

Intel4将于2022年底问世,并于2023年量产;

Intel3将于2023年稍晚问世,意味着将于2024年量产;

Intel20A将于2024年初问世;

Intel18A预计于2025年初问世。

此外Intel也列出了对应以上工艺节点的处理器产品蓝图。举例来说,Intel7工艺将用于生产2021年客户端设备AlderLake处理器,以及预计2022年量产的SapphireRapids数据中心处理器。Intel4则将用以生产客户端处理器MeteorLake,以及数据中心处理器GraniteRapids

Intel表示,其Intel4工艺将全面采用EUV微影;该公司将继续优化FinFET技术至Intel3,从20A工艺开始则将转换至GAA晶体管架构。而尽管业界几乎所有开发先进工艺节点的厂商都在期待由FinFET转向GAA,Intel自家的GAA技术被命名为RibbonFET,如下图所示。

pYYBAGEKPRyAQd-NAANVkDwLSpU331.png

此外Intel也提及了新互连技术PowerVia(参考下图)。该公司表示,传统上互连导线是放置于晶体管顶端,虽然一直以来运作良好,但在新架构更小尺寸上,其效率也随之降低。PowerVia是将互连放置于芯片下方,计划于Intel3工艺开始试验,预计将于Intel20A准备好商业化。

poYBAGEKPR-ALM2lAANCvc_z0ns939.png

Intel表示正与Qualcomm合作,以20A工艺开发主流智能手机芯片平台;如果合作一切顺利,Qualcomm的背书会发挥重要作用。Intel已经尝试在智能手机市场站稳脚跟好一段时间,但成效不彰。

而为了表明对发展制造技术的承诺,Intel也宣布正与设备业者ASML合作,定义、建立与部署更精练的高数值孔径(high-NA)EUV技术,并声称该公司将会是业界首家量产高数值孔径EUV系统的业者,其该系统将在18A工艺节点为RibbonFET的改善做出贡献。

多年来,Intel一直标榜自家在新一代封装技术方面处于领先地位,而封装技术对于半导体组件性能的进一步提升扮演关键角色。该公司表示,其IFS部门已经与云端巨擘AWS签署合作协议,后者将成为其先进封装技术的第一位客户。

节点命名方式

历史上,最小晶体管栅极与工艺节点命名之间通常存在某种程度关系;但是当这两者之间的关系完全被打破,就会产生一些争议。有人说,那是发生在大约2010年问世的32纳米节点,而Intel则表示应该要追溯至1997年,当时半导体工艺微缩至0.18微米。无论最小晶体管栅极与节点命名之间的距离是何时越拉越远,现在两者之间已经没有关系。

市场研究机构TiriasResearch分析师KevenKrewell表示,这也就是为何“工艺节点数字的重设已经逾时;Intel一直在做14纳米+++的东西,但这对Intel以外的其他人来说是没有意义的。台积电则是创建了像是8纳米这样的中间节点,而这意味着很难将晶体管与晶体管进行详细的比较。”

针对Intel在接下来5个节点的规划,Krewell则表示:“该技术蓝图相当激进,但Intel感觉他们已经准备好迎接这样的大跃进;每一个新节点都绑了特定产品,所以Intel的表现如何将会是清晰可见的。而Qualcomm采用20A工艺还是未来几年之后的事,该公司愿意公开承认令人印象深刻;”他也指出,封装技术是很重要的一个讯息,特别是Intel赢得AWS这家客户。

在Intel于美国时间7月26日下午举行的该场记者会上,Gelsinger在接受媒体提问时,被问到了AWS与Qualcomm以外的其他客户。对此,他表示与Qualcomm的合作证明IFS客户将会同步取得与Intel以内部使用为目的所开发、最先进的制造技术;而在Qualcomm之外,该公司也正在与其他客户洽谈,但不方便透露任何名称。

Gelsinger仅透露,那些客户有的来自工业领域,有的来自汽车领域,也有的是需要代工伙伴的半导体业者──包括一些在传统上是竞争对手的厂商;他充满热情地表示:“IFS已经投入竞赛!”

编译:Judith Cheng

(参考原文:Intel Charts Manufacturing Course to 2025,By Brian Santo)

fqj

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 英特尔
    +关注

    关注

    60

    文章

    9308

    浏览量

    168326
收藏 人收藏

    评论

    相关推荐

    英特尔CEO称公司全力押注18A制程

    据悉,18A制程作为英特尔推动至技术领先地位的第五个阶段,尽管未采用1.8纳米制造工艺,但宣称性能及晶体管密度均可与竞争对手的1.8纳米工艺相媲美。
    的头像 发表于 03-01 16:14 142次阅读

    英特尔:2025年全球AIPC将超1亿台占比20%

    英特尔行业资讯
    北京中科同志科技股份有限公司
    发布于 :2024年02月29日 09:15:26

    英特尔1nm投产时间曝光!领先于台积电

    英特尔行业芯事
    深圳市浮思特科技有限公司
    发布于 :2024年02月28日 16:28:32

    英特尔再创辉煌!1.4nm芯片工艺领航微电子时代,工业界的新里程碑?

    英特尔行业资讯
    北京中科同志科技股份有限公司
    发布于 :2024年02月26日 08:58:21

    英特尔首推面向AI时代的系统级代工—英特尔代工

    英特尔首推面向AI时代的系统级代工——英特尔代工(Intel Foundry),在技术、韧性和可持续性方面均处于领先地位
    的头像 发表于 02-25 10:38 233次阅读
    <b class='flag-5'>英特尔</b>首推面向AI时代的系统级代工—<b class='flag-5'>英特尔</b>代工

    英特尔宣布推进1.4纳米制程

    英特尔近日宣布了一项重要战略举措,计划未来几年内开始生产1.4纳米级尖端芯片,挑战全球晶圆代工领军企业台积电(TSMC)。 据百能云芯电.子元器.件商.城了解,当前,全球晶圆代工市场的竞争愈发激烈
    的头像 发表于 02-23 11:23 188次阅读

    英特尔登顶2023年全球半导体榜单之首

    英特尔行业芯事
    深圳市浮思特科技有限公司
    发布于 :2024年02月01日 11:55:16

    英特尔酷睿14代处理器系列发布,Arrowlake/LunarLake24年问世

    处理器英特尔
    looger123
    发布于 :2024年01月10日 17:44:38

    联电12纳米技术授英特尔,或成联发科生产关键

    据可靠消息来源透露,联电已就12纳米工艺授权与英特尔进行多轮接触且近期将达成协议。主要原因在于联电的12纳米 ARM架构技术和主攻 x86 架构的英特尔形成了很好的互补效应,根据计划,
    的头像 发表于 12-28 14:46 206次阅读

    英特尔希望在2024年超越其芯片制造竞争对手

    过去五年来,英特尔在先进芯片制造方面一直落后于台积电和三星。现在,为了重新夺回领先地位,该公司正在采取大胆且冒险的举措,在其台式机和笔记本电脑Arrow Lake处理器中引入两项新技术,该处理器将于2024年末推出。
    的头像 发表于 12-25 14:50 320次阅读

    英特尔有望于2024年领先芯片制造竞争对手

    近五年来,英特尔在高级芯片制造领域落后于台积电和三星。如今,为重新赢得领先地位英特尔正大胆而冒险地引入两项全新技术,即新型晶体管技术和首创的电源交付系统,这两项技术将被应用在计划于2
    的头像 发表于 12-19 11:58 295次阅读
    <b class='flag-5'>英特尔</b>有望于2024年领先芯片<b class='flag-5'>制造</b>竞争对手

    #高通 #英特尔 #Elite 高通X Elite芯片或终结苹果、英特尔的芯片王朝

    高通英特尔苹果
    深圳市浮思特科技有限公司
    发布于 :2023年10月27日 16:46:07

    英特尔锐炫显卡DX11性能更新,并推出全新英特尔PresentMon Beta

    英特尔锐炫正式推出DirectX 11驱动更新,为PC游戏玩家带来更强劲性能,同时发布全新工具帮助发烧友和游戏社区更好地衡量和评估系统性能。准备好一起进入极客世界吧! 去年英特尔锐炫台
    的头像 发表于 08-19 11:10 398次阅读
    <b class='flag-5'>英特尔</b>锐炫显卡DX11性能更新,并推出全新<b class='flag-5'>英特尔</b>PresentMon Beta

    安装OpenVINO工具套件英特尔Distribution时出现错误的原因?

    安装OpenVINO™工具套件英特尔 Distribution时,出现错误: Python 3.10.0.ECHO is off. Unsupported Python version.
    发表于 08-15 08:14

    英特尔媒体加速器参考软件Linux版用户指南

    英特尔媒体加速器参考软件是用于数字标志、交互式白板(IWBs)和亭位使用模型的参考媒体播放器应用软件,它利用固定功能硬件加速来提高媒体流速、改进工作量平衡和资源利用,以及定制的图形处理股(GPU)管道解决方案。该用户指南将介绍和解释如何为Linux* 使用英特尔媒体加速器
    发表于 08-04 06:34