0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Verilog如何实现一阶sigma_delta DAC

FPGA开源工作室 来源:FPGA开源工作室 作者:FPGA开源工作室 2021-07-23 10:33 次阅读

一阶 sigma-delta 调制器类似于 PWM,但如果需要对其进行滤波,则具有更好的频率响应,因为它具有更高的频率输出内容。

创建一阶 sigma-delta 调制器的最简单方法是使用硬件累加器……每次累加器溢出时,输出“1”。否则输出’0’。这在 FPGA 中很容易完成。

module PWM3( clk, rst_n, PWM_in, PWM_out);input clk;input rst_n;input [3:0] PWM_in;output PWM_out;

reg [4:0] PWM_accumulator;always @(posedge clk or negedge rst_n) if(!rst_n) PWM_accumulator 《=0; else PWM_accumulator 《= PWM_accumulator[3:0] + PWM_in;

assign PWM_out = PWM_accumulator[4];endmodule

输入值越高,累加器溢出越快(“ PWM _ 累加器[4]”) ,输出“1”的频率越高。

编辑:jq

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • Verilog
    +关注

    关注

    28

    文章

    1324

    浏览量

    109285

原文标题:Verilog实现一阶sigma_delta DAC

文章出处:【微信号:leezym0317,微信公众号:FPGA开源工作室】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    RZ MPU Delta-sigma的工作原理 Delta-Sigma的应用简介

    目前隔离式Delta-Sigma模数转换器在伺服驱动的相电流检测中得到越来越广泛的应用。
    的头像 发表于 03-22 13:55 1099次阅读

    ΣΔ(Sigma-Delta)技术详解(上):离散ΣΔ调制器

    Δ技术可以用来实现 ΣΔADC 和 ΣΔDAC ,是高精度、低噪声 ADC/DAC 的主流技术。要理解 ΣΔADC 和 ΣΔDAC ,需要按照以下顺序来学习: 离散ΣΔ调制器 → ΣΔ
    的头像 发表于 03-16 17:28 276次阅读
    ΣΔ(<b class='flag-5'>Sigma-Delta</b>)技术详解(上):离散ΣΔ调制器

    关于在PSoC 5LP上驱动Delta Sigma ADC的问题求解

    我想问些关于在 PSoC 5LP 上驱动 Delta Sigma ADC 的问题。 首先,简单介绍下我的用例:我想使用 Delta
    发表于 01-23 07:05

    AD8232设计的一阶高通和二低通混合实际情况和软件模拟情况不符怎么解决?

    我想通过AD8232设计款具有通带在20Hz到500Hz左右的滤波效果的滤波器,整个的设计如下图所示 一阶高通滤波为20Hz截止频率,二低通滤波截止频率为近900Hz,低通滤波器端同时包含近9
    发表于 11-22 07:14

    电流传感器的低频直流漂移会导致电机的一阶转矩波动吗?

    电流传感器的低频直流漂移会导致电机的一阶转矩波动?
    发表于 11-17 07:45

    MAX11214: 24-Bit, 5mW, 140dB SNR, 32ksps Delta-Sigma ADC with Integrated PGA Data Sheet MAX11214: 24-Bit, 5mW, 140dB SNR, 32ksps Delta-Sigma

    电子发烧友网为你提供ADI(ADI)MAX11214: 24-Bit, 5mW, 140dB SNR, 32ksps Delta-Sigma ADC with Integrated PGA Data
    发表于 10-17 18:35
    MAX11214: 24-Bit, 5mW, 140dB SNR, 32ksps <b class='flag-5'>Delta-Sigma</b> ADC with Integrated PGA Data Sheet MAX11214: 24-Bit, 5mW, 140dB SNR, 32ksps <b class='flag-5'>Delta-Sigma</b>

    AD4129-8:32 微A,超低功率,16-Bit Sigma-Delta ADC,综合PGA和FIFO数据表 ADI

    电子发烧友网为你提供ADI(ADI)AD4129-8:32 微A,超低功率,16-Bit Sigma-Delta ADC,综合PGA和FIFO数据表相关产品参数、数据手册,更有AD4129-8
    发表于 10-10 19:21
    AD4129-8:32 微A,超低功率,16-Bit <b class='flag-5'>Sigma-Delta</b> ADC,综合PGA和FIFO数据表 ADI

    AD4131-8:32 微A,超低功率,16-Bit Sigma-Delta ADC,综合PGA数据表 ADI

    电子发烧友网为你提供ADI(ADI)AD4131-8:32 微A,超低功率,16-Bit Sigma-Delta ADC,综合PGA数据表相关产品参数、数据手册,更有AD4131-8
    发表于 10-10 19:18
    AD4131-8:32 微A,超低功率,16-Bit <b class='flag-5'>Sigma-Delta</b> ADC,综合PGA数据表 ADI

    AD7124-8:8个通道、低噪音、低功率、24Bit、Sigma-Delta ADC,PGA和参考数据表 ADI

    电子发烧友网为你提供ADI(ADI)AD7124-8:8个通道、低噪音、低功率、24Bit、Sigma-Delta ADC,PGA和参考数据表相关产品参数、数据手册,更有AD7124-8:8个通道
    发表于 10-10 18:35
    AD7124-8:8个通道、低噪音、低功率、24Bit、<b class='flag-5'>Sigma-Delta</b> ADC,PGA和参考数据表 ADI

    STM32F37x/38x SDADC(Sigma-Delta ADC)入门

    电子发烧友网站提供《STM32F37x/38x SDADC(Sigma-Delta ADC)入门.pdf》资料免费下载
    发表于 09-21 11:29 3次下载
    STM32F37x/38x SDADC(<b class='flag-5'>Sigma-Delta</b> ADC)入门

    增量式Sigma-Delta ADC与传统结构的区别在哪?

    Sigma-Delta ADC设计中,应用于高精度窄带信号,例如生物医疗,仪表测量等领域的Sigma-Delta ADC通常被称为增量式(Incremental) Sigma-Delta ADC。
    的头像 发表于 07-03 16:54 1479次阅读
    增量式<b class='flag-5'>Sigma-Delta</b> ADC与传统结构的区别在哪?

    深入理解sigma-delta调制技术

    分享第一个Topic,sigma-delta技术。
    的头像 发表于 06-02 15:28 3322次阅读
    深入理解<b class='flag-5'>sigma-delta</b>调制技术

    sigma_delta和PWM的区别是什么?

    调暗 LED。但后来我想 - 等下!... 这不是 PWM 的 sigma_delta 东西吗?这两者有什么区别?
    发表于 05-31 06:13

    delta-sigma调制过后的高频噪声是怎么去掉的?

    平均值等于输入(假如量化器的4个输出的平均值等于输入),那么后端的DPWM(假如是计数器结构的)到底使用这4个值中的哪个?如果4个都作为占空比信号的话那么对个占空比值 是否就是要开关操作4次了? 很疑惑 才指教 谢谢! 或者说 de
    发表于 05-06 17:43

    PCM固件模块如何设置Sigma Delta硬件?

    ;gt;pin = luaL_checkinteger( L, 2 ); MOD_CHECK_ID(sigma_delta, cfg->pin); 但我留下了很多问题——些简单
    发表于 04-26 08:58