0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

牙膏踩爆!Intel 5nm工艺曝光:直逼IBM 2nm

话说科技 2021-07-15 09:36 次阅读

作为半导体工业中的核心,芯片制造是最关键也是最难的,进入10nm节点之后全球现在也就是台积电、Intel三星三家公司选择继续玩下去。表面来看Intel的进度是最慢的,然而其他两家的工艺“水分”也不小,三星的3nm工艺密度才跟Intel的7nm差不多。

Digitimes日前发表了研究报告,分析了三星、台积电、Intel及IBM四家的半导体工艺密度问题,对比了10nm、7nm、5nm、3nm及2nm的情况。

poYBAGDvkSeAWh2mAACSyuLHTyY284.jpg

在10nm节点,三星的晶体管密度只有0.52亿/mm2,台积电是0.53亿/mm2,Intel已经达到了1.06亿/mm2,密度高出一倍左右。

7nm节点,三星的工艺密度是0.95亿/mm2,台积电是0.97亿/mm2,Intel的7nm则是1.8亿/mm2,依然高出80%以上。

再往后的5nm节点上,三星实现了1.27亿/mm2的密度,台积电达到了1.73亿/mm2,Intel的目标是3亿/mm2,三星与其他两家的差距愈发拉大。

到了3nm节点,台积电的晶体管密度大约是2.9亿/mm2,三星只有1.7亿/mm2,Intel的目标是5.2亿/mm2。

2nm节点没多少数据,IBM之前联合三星等公司发布的2nm工艺密度大约是3.33亿/mm2,台积电的的目标是4.9亿/mm2。

以上数据其实不能100%反映各家的技术水平,还要考虑到性能、功耗、成本的差距,但就摩尔定律关注的密度来看,Intel在这方面基本还是按照之前的规范走的,三星、台积电工艺宣传注水也不是什么新闻了。

当然,三星这方面的浮夸可能更多一些,3nm节点的密度也不过是Intel的7nm水平,Intel的5nm工艺都能够直逼IBM 2nm水平,不知道这该说Intel太老实还是其他公司太滑头呢?

pYYBAGDvkSiAW80ZAADRsSBkI2A868.jpg

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • intel
    +关注

    关注

    19

    文章

    3451

    浏览量

    184764
收藏 人收藏

    评论

    相关推荐

    台积电冲刺2nm量产,2nm先进制程决战2025

    人员接手试产及量产作业的种子团队,推动新竹宝山和高雄厂于 2024年同步南北试产、2025年量产。   从1971的10000nm制程到5nm,从5nm向3nm
    的头像 发表于 08-20 08:32 2145次阅读
    台积电冲刺<b class='flag-5'>2nm</b>量产,<b class='flag-5'>2nm</b>先进制程决战2025

    三星电子澄清:3nm芯片并非更名2nm,下半年将量产

    李时荣声称,“客户对代工企业的产品竞争力与稳定供应有严格要求,而4nm工艺已步入成熟良率阶段。我们正积极筹备后半年第二代3nm工艺及明年2nm
    的头像 发表于 03-21 15:51 146次阅读

    台积电2nm制程进展顺利

    台中科学园区已初步规划A14和A10生产线,将视市场需求决定是否新增2nm制程工艺
    的头像 发表于 01-31 14:09 285次阅读

    苹果将抢先采用台积电2nm工艺,实现技术独享

    例如,尽管iPhone 15 Pro已发布四个月,A17 Pro仍在使用台积电专有的3nm工艺。根据MacRumors的报告,这一趋势似乎仍将延续至2nm工艺
    的头像 发表于 01-26 09:48 221次阅读

    美满电子推出5nm、3nm2nm技术支持的数据基础设施新品

    该公司的首席开发官Sandeep Bharathi透露,其实施2nm相关的投资计划已启动。虽无法公布准确的工艺和技术细节,但已明确表示,2至5nm制程的项目投入正在进行。公司专家,尤其是来自印度的专业人才,涵盖了从数字设计到电路
    的头像 发表于 01-24 10:24 196次阅读

    2nm意味着什么?2nm何时到来?它与3nm有何不同?

    3nm工艺刚量产,业界就已经在讨论2nm了,并且在调整相关的时间表。2nm工艺不仅对晶圆厂来说是一个重大挑战,同样也考验着EDA公司,以及在
    的头像 发表于 12-06 09:09 802次阅读

    台积电有望2025年量产2nm芯片

           在台积电的法人说明会上据台积电总裁魏哲家透露台积电有望2025年量产2nm芯片。 目前,台积电已经开始量产3nm工艺; 台湾新竹宝山、高雄两座工厂的2nm芯片计划2024
    的头像 发表于 10-20 12:06 962次阅读

    2nm芯片什么时候出 2nm芯片手机有哪些

    2nm芯片什么时候出 2nm芯片什么时候出这个问题目前没有相关官方的报道,因此无法给出准确的回答。根据网上的一些消息台积电于6月16日在2022年度北美技术论坛上首次宣布,将推出下一代先进工艺制程
    的头像 发表于 10-19 17:06 887次阅读

    2nm芯片是什么意思 2nm芯片什么时候量产

    2nm芯片是什么意思 2nm芯片指的是采用了2nm制程工艺所制造出来的芯片,制程工艺的节点尺寸表示芯片上元件的最小尺寸。这意味着芯片上的晶体
    的头像 发表于 10-19 16:59 2197次阅读

    2nm芯片工艺有望破冰吗?

    芯片2nm
    亿佰特物联网应用专家
    发布于 :2023年10月11日 14:52:41

    来看看“不约而同”的2nm时间轴进程

    作为行业老大,台积电称将如期在2025年上线2nm工艺,2025年下半年进入量产。2nm可谓是台积电的一个重大节点,该工艺将采用纳米片晶体管(Nanosheet),取代FinFET,意
    的头像 发表于 08-07 16:22 480次阅读

    Intel自曝:3nm工艺良率、性能简直完美!

    Intel将在下半年发布的Meteor Lake酷睿Ultra处理器将首次使用Intel 4制造工艺,也就是之前的7nm,但是Intel认为
    的头像 发表于 08-01 09:41 603次阅读

    台积电放弃28nm工厂,改建2nm

    据了解,台积电已将高雄厂敲定2nm计划向经济部及高雄市政府提报,希望政府协助后续供水及供电作业。因2nm制程将采用更耗电的极紫外光(EUV)微影设备,耗电量比位于南科的3nm更大,台积电高雄厂改为直接切入
    的头像 发表于 07-18 15:19 711次阅读
    台积电放弃28<b class='flag-5'>nm</b>工厂,改建<b class='flag-5'>2nm</b>?

    2nm大战 全面打响

    在芯片制造领域,3nm方兴未艾,围绕着2nm的竞争已经全面打响。
    的头像 发表于 06-28 15:58 485次阅读
    <b class='flag-5'>2nm</b>大战 全面打响

    揭秘半导体制程:8寸晶圆与5nm工艺的魅力与挑战

    在探讨半导体行业时,我们经常会听到两个概念:晶圆尺寸和工艺节点。本文将为您解析8寸晶圆以及5nm工艺这两个重要的概念。
    的头像 发表于 06-06 10:44 1577次阅读
    揭秘半导体制程:8寸晶圆与<b class='flag-5'>5nm</b><b class='flag-5'>工艺</b>的魅力与挑战