0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

预计到2023年,ASML公司的EUV光刻机带来的收入将比2020年的收入翻一番

半导体科技评论 来源:半导体行业观察 作者:半导体行业观察 2021-05-17 15:22 次阅读

2020年1月25日,我写了一篇题为“ ASML:我的顶级半导体加工设备公司”的文章。在文章中,我对该公司仍然很满意,但是随着ASML的EUV产品在公司销售中越来越占主导地位。对于公司而言,我认为这不是一个完全积极的趋势,在本文中,我将进行解释。

数据显示,EUV光刻机收入占ASML收入的百分比从2016年的7%增加到2020年的45%。预计到2023年,ASML公司的EUV光刻机带来的收入将比2020年的收入翻一番。

根据我们题为“ Sub 100nm光刻:市场分析和战略问题”的报告,图1显示了ASML的EUV收入(蓝线)在2020财年超过了其DUV浸没式设备的收入(红线)。根据我对2021年和2022年的预测,两者之间的差距正在扩大。

a78f035e-b4de-11eb-bf61-12bb97331649.png

图1

如图2所示,基于趋势线,ASML的193nm DUV immersion系统的单位份额已基本持平或略有下降。请注意,佳能没有制造immersion系统。

a7c87e18-b4de-11eb-bf61-12bb97331649.png

图2

为什么这很重要?在引入EUV系统之前,最先进的IC是使用DUV浸没式光刻系统制造的。这些DUV系统在193nm的光波长下无法到达7nm节点,因此EUV取代了在7nm及以下节点上的DUV浸入。

由于两个非常重要的原因,这为ASML带来了长期问题:

首先,目前只有两家公司生产7nm及以下的IC ——台积电和三星电子代工逻辑芯片

根据我的分析,在2020年之前售出的近86个EUV逻辑系统中,TSMC购买了44个系统,而三星购买了19个。英特尔购买了18个。唯一一家有意购买EUV系统的公司是中国的中芯国际,但该公司因美国的制裁而被禁止购买任何产品。

其次,台积电和三星仅将EUV用于少数芯片层,而将DUV用于其余部分,因此这两种类型的系统都需要7nm及以下的波长。掩膜层的数量随着节点的减少而增加。

一个28nm的IC最多可以有50层掩膜,一个14nm / 10nm的IC最多可以有60个层,

一个7nm的IC有80层掩膜,

5nm IC有100层掩膜,具体取决于制造商。

台积电在7nm处仅使用12层EUV,而使用68层DUV。台积电在5nm处用了22层EUV与78 层。沉浸式DUV对制造这些芯片至关重要,而ASML在这个市场正在被尼康狙击。

我看好ASML,主要是因为它主导了光刻市场。如果没有其主要产品EUV,半导体行业将被困在7nm。

由于ASML已成为一家迁移到以EUV为主的公司,但99%的半导体公司不使用EUV。他们需要的是i-line,248nm DUV和193nm浸入式和干式DUV等光科设备。

EUV仅占ASML单位出货量的12%,但占收入的45%。确实,其主要客户台积电(TSMC)在2020年的7nm和更低的节点产量中产生了其收入的41%,高于2019年的27%。

对于台积电而言,EUV在7纳米及以下的收入在2020年产生了190亿美元,高于90亿美元。但即使到2019年,2020年10nm及以上的收入也将达到260亿美元。

此外,还有两个主要问题:

EUV仅用于7nm IC的少量层上,绝大部分层在TSMC和Samsung上用DUV浸没式光刻来描绘图案。

ASML在非EUV光刻系统(主要是浸没DUV)中所占的份额持平或略有下降。

半导体行业协会宣布,2020年全球半导体行业销售额为4390亿美元,比2019年的4123亿美元增长6.5%。

因此,2020年台积电为EUV节点提供的190亿美元仅占全球半导体市场的4.3%,高于2019年的2.2%。换句话说,即使三星的EUV节点芯片意味着超过90%的半导体不需要EUV 。

根据我的分析,ASML在佳能和尼康市场上的收入份额在过去四年中一直徘徊在89%左右。

ASML还限于它们可以制造的数量,只有三个逻辑和三个DRAM公司,三星(逻辑+ NAND),台积电(逻辑),英特尔(逻辑),SK海力士(NAND)和MU(NAND)购买它们。

ASML的193nm浸入式(ArF)从2018年的95%份额下降到2019年的88%份额,到2020年下降到85%份额。自2013年以来,趋势线略微为负。尼康是浸入式DUV领域的强大竞争对手,其在该领域的份额得以保持并略有增长。

责任编辑:lq

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 光刻机
    +关注

    关注

    31

    文章

    1121

    浏览量

    46371
  • 逻辑芯片
    +关注

    关注

    1

    文章

    146

    浏览量

    30207
  • ASML
    +关注

    关注

    7

    文章

    673

    浏览量

    40718

原文标题:ASML真的那么强大吗?

文章出处:【微信号:半导体科技评论,微信公众号:半导体科技评论】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    英特尔突破技术壁垒:首台商用High NA EUV光刻机成功组装

    英特尔的研发团队正致力于对这台先进的ASML TWINSCAN EXE:5000 High NA EUV光刻机进行细致的校准工作,以确保其能够顺利融入未来的生产线。
    的头像 发表于 04-22 15:52 281次阅读

    光刻机巨头阿斯麦业绩爆雷 ASML公司一季度订单下滑

    光刻机巨头阿斯麦业绩爆雷 ASML公司一季度订单下滑 光刻机巨头阿斯麦业绩爆雷了,阿斯麦(ASML)在4月17日披露的一季度订单远低于市场预
    的头像 发表于 04-18 16:43 534次阅读

    ASML 首台新款 EUV 光刻机 Twinscan NXE:3800E 完成安装

    3 月 13 日消息,光刻机制造商 ASML 宣布其首台新款 EUV 光刻机 Twinscan NXE:3800E 已完成安装,新机型将带来
    的头像 发表于 03-14 08:42 129次阅读
    <b class='flag-5'>ASML</b> 首台新款 <b class='flag-5'>EUV</b> <b class='flag-5'>光刻机</b> Twinscan NXE:3800E 完成安装

    光刻机巨头ASML要搬离荷兰?

    据荷兰《电讯报》3月6日报道,因荷兰政府的反移民政策倾向,光刻机巨头阿斯麦(ASML)正计划搬离荷兰。
    的头像 发表于 03-08 14:02 526次阅读

    2023年全球五大晶圆厂设备制造商收入下滑1%,ASML跻身首位

    其中,ASML及应用材料两家企业收入呈现正向增长,而泛林集团(Lam Research)、东京电子(TEL)和科磊(KLA)则分别下滑25%、22%和8%。值得注意的是,ASML凭借强大的DUV和
    的头像 发表于 03-07 10:21 213次阅读

    三星清空ASML股份,11年盈利超16倍

    根据资料显示,在2012年,为了支持ASML EUV光刻机的研发与商用,并获得EUV光刻机的优先供应,在2012年,英特尔、台积电、三星均斥
    的头像 发表于 02-23 17:27 602次阅读

    预计收入超18亿元!禾赛科技2023年全年业绩超预期

    月31日,该公司预计收入将超过18亿元人民币(2.5亿美元)的目标。 截至2023年12月31日,禾赛预计
    的头像 发表于 02-02 16:13 295次阅读
    <b class='flag-5'>预计</b>净<b class='flag-5'>收入</b>超18亿元!禾赛科技<b class='flag-5'>2023</b>年全年业绩超预期

    佳能预计到2024年出货纳米压印光刻机

    Takeishi向英国《金融时报》表示,公司计划于2024年开始出货其纳米压印光刻机FPA-1200NZ2C,并补充说芯片可以轻松以低成本制造。2023年11月,该公司表示该设备的价
    的头像 发表于 02-01 15:42 354次阅读
    佳能<b class='flag-5'>预计</b>到2024年出货纳米压印<b class='flag-5'>光刻机</b>

    ASML 2023年Q4 财报发布,光刻机订单大增

    来源:AIot工业检测,谢谢 编辑:感知芯视界 Link 随着芯片需求的不断增长,芯片制造商正加大采购晶圆厂设备的力度,以提高产能。作为EUV光刻机制造商,ASML受益于这一趋势,其2023
    的头像 发表于 01-26 09:20 450次阅读

    今日看点丨ASML声明:2050及2100光刻机出口许可证已被部分撤销;小鹏 X9 纯电 MPV 上市:售价 35.98 万元起

    1. ASML 声明:2050 及2100 光刻机出口许可证已被部分撤销   ASML日前发表声明,称荷兰政府最近部分撤销了此前颁发的NXT:2050i and NXT:2100i光刻机
    发表于 01-02 11:20 966次阅读
    今日看点丨<b class='flag-5'>ASML</b>声明:2050及2100<b class='flag-5'>光刻机</b>出口许可证已被部分撤销;小鹏 X9 纯电 MPV 上市:售价 35.98 万元起

    三星希望进口更多ASML EUV***,5年内新增50台

    EUV曝光是先进制程芯片制造中最重要的部分,占据总时间、总成本的一半以上。由于这种光刻机极为复杂,因此ASML每年只能制造约60台,而全球5家芯片制造商都依赖ASML
    的头像 发表于 11-22 16:46 414次阅读

    美国对ASML出售***的政策变化一览

    2020年时,ASML总裁还声称中国即使拿到设计图纸也无法自制光刻机。但2021年开始,其态度出现变化,承认中国有可能独立制造光刻机系统。2022年甚至主动表示要继续向中国出售
    的头像 发表于 10-30 16:18 1164次阅读

    【热点】2023年了,我国***发展怎么样了?

    光刻机技术有多难搞?有人将它与核弹相提并论:目前,全球光刻机已由荷兰ASML、日本尼康和佳能公司完全垄断。10nm节点以下,ASML稳稳占据
    的头像 发表于 06-08 14:55 2w次阅读
    【热点】<b class='flag-5'>2023</b>年了,我国***发展怎么样了?

    ASMLEUV***研发历程

    asmleuv技术开发的领先者。asml公司是半导体领域光刻机生产企业的领头羊,也是全球市场占有率最大的
    的头像 发表于 06-08 09:37 3293次阅读

    2023最强半导体品牌Top 10!第名太强大了!

    产业最强的品牌,获得AA+评级。 台积电有多强? 2022全球市值十大的公司中,美国占了八家,因外两家分别是沙特阿拉伯国家石油公司和台积电。 台积电公司目前属于世界级
    发表于 04-27 10:09