0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

浅谈条件语句的可综合性

电子工程师 来源:FPGA设计论坛 作者:FPGA设计论坛 2021-05-12 09:12 次阅读

条件语句的可综合性

HDL语言的条件语句与算法语言的条件语句,最大的差异在于:

1.不管条件:当前输入条件没有对应的描述,则该条件为不管条件(Don’tCare)。对应不管条件的信号称为不管信号

2.不选条件:多个条件分支中,未获得当前输入条件指向的分析,称为不选条件(Don’tSelect)。对应的信号称为不选信号。

不管不选发生时,HDL的综合器,将尽量维持原值,并且得到额外的生成结构。若无法用生成结构维持原值,则直接报错。根据行为语句的开节点ON/闭节点CN描述;部分条件PC/全部条件FC;以及条件分支的输出是否具有同名信号(线与WAND)或不同名信号(非线与Not_WAND),综合器将得到不同的处理结果。

开节点全部条件全部分支非线与描述

此时,全条件描述导致没有不管条件和不管信号。但全部分支非线与(即每一个条件分支语句输出不同名信号),将导致不选信号。对于不选条件不选信号,EDA将维持原值。生成结构为锁存器。

50a9776e-b2a3-11eb-bf61-12bb97331649.png

条件译码逻辑:

pIYBAGCbLLqAMuUNAAATUZNF6dE149.png

RTL视图:(QuartusII)

50d462da-b2a3-11eb-bf61-12bb97331649.png

这里的译码逻辑为:

50dddacc-b2a3-11eb-bf61-12bb97331649.png

一般性的代码模型分析:

左侧为代码块,全条件描述不存在不管条件,全部分支非线与存在不选条件和不选信号,EDA需要为这些不选信号生成锁存器,用于维持原值。右侧为其代码模型:

50ec746a-b2a3-11eb-bf61-12bb97331649.png

结论:开节点全部条件全部输出非线与的HDL描述,将得到的结构

闭节点全部条件全部分支非线与描述

综合分析:

1.闭节点描述将导致生成寄存器

2.全部条件描述,使得此时不存在不管条件

3.全部分支输出非线与,将导致不选条件/不选信号。这些不选信号需要维持原值。

4.由于此时已经输出末端已经得到有记忆的寄存器,因此综合器此时将使用这些生成寄存器维持原值(不选信号)

例如:

5110e124-b2a3-11eb-bf61-12bb97331649.png

RTL视图(QuartusII):

51306292-b2a3-11eb-bf61-12bb97331649.png

这里译码逻辑为:

51703444-b2a3-11eb-bf61-12bb97331649.png

关于使用寄存器维持原值(不选信号/不管信号):

寄存器维持原值需要遵循两个互相矛盾的综合原则:

1.优化原则:寄存器使用其使能端维持原值,将导致时钟信号的路由产生逻辑,或者说时钟信号被加工,最终导致时钟偏斜参数的恶化,影响系统的最高速度。因此,综合器将尽量避免寄存器使用使能端。

2.原创原则:综合器根据代码模型分析,和实际的物理实现,必然需要对已经的描述的代码进行加工和修改,最典型的例子就是生成结构(生成锁存器,生成寄存器)。但过多的生成结构,将导致最终实现的电路可能与设计者的愿望相悖。因此,综合器将尽量避免生成结构。

除了使用使能端维持寄存器原值,另一个产生生成结构的方法则是多路器闭环:

51a6e638-b2a3-11eb-bf61-12bb97331649.png

一般性的代码模型分析:

51c4ee76-b2a3-11eb-bf61-12bb97331649.png

结论:闭节点全部条件全部分支输出非线与描述,将得到的结构。注意此时综合器在寄存器维持原值时,可能产生时钟优化原则和原创原则的选择。

5.3开节点全部条件全部分支线与描述

综合分析:

1.全部条件避免了不管条件

2.全部分支线与(所以分支输出同名信号),综合器为了避免短路,将生产多路器。

注意此时没有生成锁存器。

51e163f8-b2a3-11eb-bf61-12bb97331649.png

RTL视图:

5217cff6-b2a3-11eb-bf61-12bb97331649.png

一般性代码模型分析:

5237d670-b2a3-11eb-bf61-12bb97331649.png

结论:开节点全部条件全部输出线与描述,将得到<多路器输出>结构。

注意这里没有锁存器,虽然有不选信号,但这些不选信号被多路器屏蔽。

编辑:jq

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 寄存器
    +关注

    关注

    30

    文章

    5025

    浏览量

    117697
  • HDL
    HDL
    +关注

    关注

    8

    文章

    322

    浏览量

    47101
  • 锁存器
    +关注

    关注

    8

    文章

    742

    浏览量

    41031
  • 代码
    +关注

    关注

    30

    文章

    4554

    浏览量

    66726

原文标题:FPGA学习:条件语句的可综合性

文章出处:【微信号:gh_9d70b445f494,微信公众号:FPGA设计论坛】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    鸿蒙TypeScript入门学习第6天:【条件语句

    条件语句用于基于不同的条件来执行不同的动作。 TypeScript 条件语句是通过一条或多条语句
    的头像 发表于 04-01 13:51 415次阅读
    鸿蒙TypeScript入门学习第6天:【<b class='flag-5'>条件</b><b class='flag-5'>语句</b>】

    单片机if是什么语句

    单片机中的if语句是一种条件语句,用于根据不同的条件执行不同的代码块。在程序执行过程中,条件语句
    的头像 发表于 01-05 14:04 458次阅读

    单片机中for语句的运用

    语句,它的基本结构如下: for (初始化语句; 条件表达式; 更新语句) {循环体;} for语句的执行流程: 运行初始化
    的头像 发表于 01-05 14:02 583次阅读

    sql语句多个条件怎么连接

    SQL语句中使用了两个条件,age >= 25表示年龄大于等于25,age OR运算符用于满足多个条件中的至少一个条件的情况。例如,
    的头像 发表于 11-23 11:34 975次阅读

    python if语句多个条件怎么用

    在Python中,可以使用多个条件来编写if语句。这些条件可以使用逻辑运算符进行组合,包括and、or和not。 当if语句中有多个条件时,
    的头像 发表于 11-21 16:45 1001次阅读

    浅谈综合布线系统中如何避免感应雷的袭击

    电子发烧友网站提供《浅谈综合布线系统中如何避免感应雷的袭击.doc》资料免费下载
    发表于 11-10 16:09 0次下载
    <b class='flag-5'>浅谈</b>在<b class='flag-5'>综合</b>布线系统中如何避免感应雷的袭击

    考虑x和z在verilog条件语句中的使用情况

    首先,考虑x和z在verilog条件语句中的使用情况,然后我们再考虑在verilog中用x和z给其他reg/wire赋值的情况。
    的头像 发表于 11-02 09:40 606次阅读
    考虑x和z在verilog<b class='flag-5'>条件</b><b class='flag-5'>语句</b>中的使用情况

    如何评估所选购焊锡膏综合性能的优劣?

    简要分享如何评估所选购焊锡膏综合性能的优劣?
    的头像 发表于 10-23 09:08 263次阅读

    Python条件条件语句

    if语句 对于if语句,若条件判定为真,那么后面的语句块就会被执行。若条件判定为假,语句块就会被
    的头像 发表于 09-12 16:45 354次阅读

    Python中什么是语句

    条件判断 语句块 什么是语句块呢? 语句块是在条件为真(条件
    的头像 发表于 09-12 16:41 615次阅读

    C语言中if语句、if-else语句和switch语句详解

    在C语言中,有三种条件判断结构:if语句、if-else语句和switch语句
    发表于 08-18 16:36 1005次阅读
    C语言中if<b class='flag-5'>语句</b>、if-else<b class='flag-5'>语句</b>和switch<b class='flag-5'>语句</b>详解

    条件语句/循环语句simulink的实现方法(一)

    条件语句和循环语句是计算机编程中常用的两种控制结构
    的头像 发表于 07-21 16:48 6403次阅读
    <b class='flag-5'>条件</b><b class='flag-5'>语句</b>/循环<b class='flag-5'>语句</b>simulink的实现方法(一)

    MATLAB中条件语句和循环结构的使用方式

    MATLAB提供了多种条件语句和循环结构。
    的头像 发表于 07-05 09:41 2478次阅读

    verilog语言的可综合性和仿真特性

    综合就是将HDL语言转化成与,非,或门等等基本逻辑单元组成的门级连接。因此,可综合语句就是能够通过EDA工具自动转化成硬件逻辑的语句
    发表于 06-28 10:39 947次阅读

    VerilogHDL 综合设计的注意事项

    ;第二种就是用assign 关键字描述的数据流赋值语句。 ②always 模块的敏感表为电平敏感信号的电路几乎可以完成对所有组合逻辑电路的建模。always模块的敏感列表为所有判断条件信号和输入信号
    发表于 06-02 14:20