0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Xilinx 7系列FPGA管脚是如何定义与Pinout文件下载

FPGA之家 来源:简书 作者:FPGA技术实战 2021-04-27 10:45 次阅读

引言: 我们在进行FPGA原理图和PCB设计时,都会涉及到FPGA芯片管脚定义和封装相关信息,本文就Xilinx 7系列FPGA给出相关参考,给FPGA硬件开发人员提供使用。通过本文,可以了解到:

Xilinx 7系列FPGA管脚是如何定义的

原理图设计时如何下载FPGA管脚文件(Pinout文件)

1.Xilinx7系列FPGA管脚定义

表1-1列出了7系列FPGA封装中的管脚定义。注意:表1-12有单独列出的专用通用用户I/O,也有标记IO_LXXY_ZZZ#或者I/O_XX_ZZZ_#标识的多功能I/O,其中ZZZ代表一种或几种附加的功能。如果多功能I/O不用做特殊用途,它们可以当作普通I/O使用,这一点我们在硬件设计时要注意。

ef327e48-a67e-11eb-aece-12bb97331649.jpg

表1-1、Xilinx 7系列FPGA管脚定义

FPGA的器件管脚按照Bank进行划分,每个Bank独立供电,以使FPGA I/O适应不用电压标准,增强I/O设计的灵活性。每个用户Bank包括50个I/O管脚或者24对差分对管脚(48个差分信号),Top和Bottom各一个单端管脚。图1给出了K325T芯片用户Bank IO原理图举例。

ef43bd20-a67e-11eb-aece-12bb97331649.jpg

图1、K325T芯片用户Bank IO原理图

在图中,我们可以看到红色圈住的两个单端信号,绿色线条圈住的_CC时钟管脚不用作时钟输入时可以作为用户I/O来使用,另外,还可以看到蓝色标记的VREF管脚,当该BANK I/O用作DDR内存接口时,需要提供伪差分所需的阈值电压,此时_VREF_管脚需要接DDR外设要求的参考电压。其他I/O管脚分析,可以参考表1-1管脚定义说明。

2.Xilinx7系列FPGA管脚Pinout文件下载

我们在进行原理图库设计时,如何获得FPGA每个管脚定义呢?在UG475官方文档第二章7 Series FPGAs Package Files的ASCII Pinout Files子节中,按照FPGA器件家族和器件封装分类,给出了7系列所有器件Pinout定义链接地址。官网给出CSV和TXT两种格式Pinout文件,我们可以灵活选择。

ef796556-a67e-11eb-aece-12bb97331649.jpg

图2、FPGA Pinout下载链接

efa36ebe-a67e-11eb-aece-12bb97331649.jpg

图3、Xilinx官网下载Pinout

我们打开一个.TXT形式的Pinout,如图4所示。可以看到,文件分为8列,包含所有设计原理图所需的关键信息:管脚编号、管脚名称、管脚DDR内存分组、管脚BANK编号、辅助组(VCCAUX)、超级逻辑域(SLR)、I/O管脚类型(配置、HR、HP、收发器管脚等)以及与器件Pin-to-Pin兼容相关的NC管脚信息。

efae3c0e-a67e-11eb-aece-12bb97331649.jpg

图4、Pinout文件内容举例

编辑:lyn

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21309

    浏览量

    593120
  • Xilinx
    +关注

    关注

    70

    文章

    2119

    浏览量

    119366
  • 管脚
    +关注

    关注

    1

    文章

    222

    浏览量

    31596

原文标题:Xilinx 7系列FPGA器件管脚原理图设计说明

文章出处:【微信号:zhuyandz,微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    Xilinx 7系列FPGA功能特性介绍

    Xilinx7系列FPGA由四个FPGA系列组成,可满足一系列系统需求,从低成本、小尺寸、成本敏
    发表于 04-22 10:49 77次阅读
    <b class='flag-5'>Xilinx</b> 7<b class='flag-5'>系列</b><b class='flag-5'>FPGA</b>功能特性介绍

    Xilinx fpga芯片系列有哪些

    Xilinx FPGA芯片拥有多个系列和型号,以满足不同应用领域的需求。以下是一些主要的Xilinx FPGA芯片
    的头像 发表于 03-14 16:24 741次阅读

    简述Xilinx 7系列FPGA芯片相关知识

    Xilinx 7系列 芯片 应用非常广泛,具有成本低、性能强悍、成熟稳定的特点,目前Xilinx( AMD )已延长该系列芯片的生命周期至少到2035年。 本文主要介绍
    的头像 发表于 11-28 10:20 479次阅读
    简述<b class='flag-5'>Xilinx</b> 7<b class='flag-5'>系列</b><b class='flag-5'>FPGA</b>芯片相关知识

    简述Xilinx 7系列FPGA芯片相关知识

    Xilinx 7系列芯片应用非常广泛,具有成本低、性能强悍、成熟稳定的特点,目前Xilinx(AMD)已延长该系列芯片的生命周期至少到2035年。
    发表于 11-27 09:26 443次阅读
    简述<b class='flag-5'>Xilinx</b> 7<b class='flag-5'>系列</b><b class='flag-5'>FPGA</b>芯片相关知识

    SD卡管脚定义及C语言讲解

    电子发烧友网站提供《SD卡管脚定义及C语言讲解.pdf》资料免费下载
    发表于 11-16 10:30 0次下载
    SD卡<b class='flag-5'>管脚</b><b class='flag-5'>定义</b>及C语言讲解

    #FPGA XILINX 下载器连接方法

    fpga下载
    明德扬科技
    发布于 :2023年10月30日 15:22:40

    Xilinx 7系列与Ultrascale系列FPGA的区别

    Xilinx是一家专业的可编程逻辑器件(PLD)厂商,其产品包括FPGA、CPLD、SOC等。XilinxFPGA产品线有多个系列,其中7
    发表于 09-15 14:44 2152次阅读
    <b class='flag-5'>Xilinx</b> 7<b class='flag-5'>系列</b>与Ultrascale<b class='flag-5'>系列</b><b class='flag-5'>FPGA</b>的区别

    面向Xilinx FPGA和SoC的超快设计方法指南

    电子发烧友网站提供《面向Xilinx FPGA和SoC的超快设计方法指南.pdf》资料免费下载
    发表于 09-14 10:02 1次下载
    面向<b class='flag-5'>Xilinx</b> <b class='flag-5'>FPGA</b>和SoC的超快设计方法指南

    Xilinx 7系列FPGA的时钟结构解析

    通过上一篇文章“时钟管理技术”,我们了解Xilinx 7系列FPGA主要有全局时钟、区域时钟、时钟管理块(CMT)。 通过以上时钟资源的结合,Xilinx 7
    发表于 08-31 10:44 1259次阅读
    <b class='flag-5'>Xilinx</b> 7<b class='flag-5'>系列</b><b class='flag-5'>FPGA</b>的时钟结构解析

    Xilinx 下载

    下载
    明德扬科技
    发布于 :2023年08月29日 16:31:22

    手把手教你动态编辑Xilinx FPGA内LUT内容

    在7系列FPGA中,将近2/3的SLICE是SLICEL,其余的是SLICEM[1],也就是说,FPGA内2/3的资源在bitstream文件下载
    的头像 发表于 08-26 14:18 1950次阅读
    手把手教你动态编辑<b class='flag-5'>Xilinx</b> <b class='flag-5'>FPGA</b>内LUT内容

    使用Xilinx FPGA实现OFDM系统

    OFDM中调制使用IFFT,解调使用IFFT,在OFDM实现系统中,FFT和IFFT时必备的关键模块。在使用Xilinx的7系列FPGA(KC705)实现OFDM系统时,有以下几种选择。
    的头像 发表于 07-10 10:50 654次阅读
    使用<b class='flag-5'>Xilinx</b> <b class='flag-5'>FPGA</b>实现OFDM系统

    为EBAZ4205创建Xilinx Vivado板文件

    电子发烧友网站提供《为EBAZ4205创建Xilinx Vivado板文件.zip》资料免费下载
    发表于 06-16 11:41 1次下载
    为EBAZ4205创建<b class='flag-5'>Xilinx</b> Vivado板<b class='flag-5'>文件</b>

    XILINX FPGA IP之Clocking Wizard详解

    锁相环基本上是每一个fpga工程必不可少的模块,之前文档xilinx 7 系列FPGA时钟资源对xilinx
    发表于 06-12 17:42 3241次阅读
    <b class='flag-5'>XILINX</b> <b class='flag-5'>FPGA</b> IP之Clocking Wizard详解

    Xilinx FPGA pcb设计

    Xilinx FPGA pcb设计
    发表于 05-29 09:11 0次下载