0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

详解Vivado与Modelsim关联方法及器件库编译

FPGA之家 来源:博客园 作者:A风筝 2021-04-15 10:10 次阅读

一、在vivado中设置modelsim(即第三方仿真工具)的安装路径。在vivado菜单中选择“Tools”——》“Options.。。”,选择“General”选项卡,将滚动条拉倒最底部,在“QuestaSim/ModelSim install path”栏中输入或选择modelsim工具的安装路径,如图1所示。

b26d950c-9d13-11eb-8b86-12bb97331649.jpg

图1 设置modelsim的安装路径 二、器件库编译。首先,在modelsim安装路径中新建一个名为vivado2014_lib的文件夹(路径和文件名可改),如图2所示。

b284283a-9d13-11eb-8b86-12bb97331649.jpg

图2 在modelsim安装路径下新建vivado2014_lib文件夹 接着选择vivado菜单“Tools”——》“Compile Simulation Libraries.。。”命令,如图3所示。

b30b1b1a-9d13-11eb-8b86-12bb97331649.png

图3 选择“Compile Simulation Libraries.。。”命令

在弹出的对话框中设置器件库编译参数,仿真工具“Simulator”选为ModelSim,语言“Language”、库“Library”、器件家族“Family”都为默认设置All(当然也可以根据自己的需求进行设置),然后在“Compiled library location”栏设置编译器件库的路径,这里选前面新建的vivado2014_lib文件夹,此外在“Simulator executable path”栏设置modelsim执行文件的路径,其他参数默认,如图4所示。

b335d986-9d13-11eb-8b86-12bb97331649.jpg

图4 设置器件库编译参数

设置好参数后点击“Compile”按钮开始器件库的编译。图5所示为正在编译器件库的过程中。器件库编译结束后给出编译报告,从报告中看出0个警告和0个错误,如图6所示。

b35e35ca-9d13-11eb-8b86-12bb97331649.jpg

图5 正在编译器件库的过程中

b3a151fc-9d13-11eb-8b86-12bb97331649.jpg

图6 器件库

编译结束后产生编译报告 打开modelsim安装路径下的vivado2014_lib文件夹,便可以看到已经产生了器件库,如图7所示。

b3af1d1e-9d13-11eb-8b86-12bb97331649.jpg

图7 已在vivado2014_lib文件夹中生成器件库

三、在vivado中关联了modelsim软件和编译器件库之后,就可以在vivado中调用modelsim软件对设计进行仿真了。

不过,在对每一个新建的工程设计进行仿真时需要进行一些设置。选择vivado菜单“Flow”——》“Simulation Settings.。。”命令或点击流程向导中选择“Simulation Settings.。。”命令,分别如图8和图9所示。

b3c1b898-9d13-11eb-8b86-12bb97331649.jpg

图8 从菜单选择“Simulation Settings.。。”命令

b3e30e80-9d13-11eb-8b86-12bb97331649.png

图9 从流程向导中选择“Simulation Settings.。。”命令

在弹出的对话框中,设置仿真工具为modelsim、仿真语言为verilog或VHDL或混合,当设计中用到vivado中自带的仿真工具时,还要指定器件库的路径,如图10所示。关于仿真的其他参数在这里就不作介绍了。

b3f2ffd4-9d13-11eb-8b86-12bb97331649.jpg

图10 设置仿真参数

设置好仿真参数后,如果设计文件和仿真文件也准备好,那么就可以开始对设计的功能进行仿真了。选择菜单“Flow”——》“Run Simulation”——》选相应的仿真类型或点击流程向导中的“Run Simulation”——》选相应的仿真类型进行仿真,如图11所示。

b400a792-9d13-11eb-8b86-12bb97331649.png

图11 选择相应的仿真类型进行仿真
编辑:lyn

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • ModelSim
    +关注

    关注

    5

    文章

    172

    浏览量

    46749
  • Vivado
    +关注

    关注

    18

    文章

    787

    浏览量

    65090

原文标题:Vivado与Modelsim关联方法及器件库编译

文章出处:【微信号:zhuyandz,微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    ISE 关联 Modelsim 详细操作

    Compilation Wizard”,注意,64位系统中默认安装了64位和32位的ISE,如果想要用32位的ISE,那么就选择32位的编译工具,而且,Modelsim也得安装32位的版本。 打开工具后
    发表于 03-22 18:55

    最实用的Modelsim使用教程

    。选定了器件分配引脚后在做后仿真。 3.3 Modelsim仿真的基本步骤 Modelsim的仿真主要有以下几个步骤:(1)建立并映射
    发表于 03-19 16:40

    华灿光电计划动用募资,向关联方购置生产设备

    由于华灿光电与北方华创的大股东均为北京电控,依据交易所规则对此类关联交易加以明确,故北方华创被设定为华灿光电的关联方。自2023年起,除了此次关联交易,华灿光电与北方华创以及与其同属同一实控人领导或者存在控制关系的其它
    的头像 发表于 12-26 10:56 443次阅读

    怎样单独使用modelsim仿真xilinx呢?

    直接在modelsim软件内执行.do文件进行仿真,不通过vivado调用modelsimvivado仅用于生成IP核。
    的头像 发表于 12-04 18:26 565次阅读
    怎样单独使用<b class='flag-5'>modelsim</b>仿真xilinx呢?

    AD20建立集成显示错误不能关联封装

    跪求指点,AD20 建立集成老是出错,原理图库添加不了封装,集成编译过,有时候关闭了,下次打开又能关联起来
    发表于 10-14 11:05

    如何用Python实现VivadoModelSim仿真自动化?

    我们在Windows系统下使用Vivado的默认设置调用第三方仿真器比如ModelSim进行仿真时,一开始仿真软件都会默认在波形界面中加载testbench顶层的信号波形
    的头像 发表于 09-13 09:23 749次阅读
    如何用Python实现<b class='flag-5'>Vivado</b>和<b class='flag-5'>ModelSim</b>仿真自动化?

    vivado的仿真器改成modelsim,仿真时modelsim的transcript没法打印出e203实时运行的信息怎么解决?

    原来仿真使用的是vivado simulator,最近将vivado的仿真器改成modelsim,发现仿真的时候modelsim的transcript没法打印出e203实时运行的信息。
    发表于 08-11 09:47

    使用vivado的仿真器仿真时,modelsim的transcript界面无法输出C程序的printf语句是为什么?

    我现在将vivadomodelsim做了联合仿真,用来仿真蜂鸟e203协处理器扩展实现的功能。现在的问题是:使用vivado的仿真器仿真时vivado的TCL console可以打印
    发表于 08-11 06:44

    vivado软件和modelsim软件的安装方法

    本文详细介绍了vivado软件和modelsim软件的安装,以及vivado中配置modelsim仿真设置,每一步都加文字说明和图片。
    的头像 发表于 08-07 15:48 1681次阅读
    <b class='flag-5'>vivado</b>软件和<b class='flag-5'>modelsim</b>软件的安装<b class='flag-5'>方法</b>

    #FPGA #Vivado #modelism vivado关联modelsim

    fpga单片机
    奔跑的小鑫
    发布于 :2023年07月27日 10:32:08

    Vivado调用Modelsim仿真

    Modelsim是十分常用的外部仿真工具,在Vivado中也可以调用Modelsim进行仿真,下面将介绍如何对vivado进行配置并调用Models
    的头像 发表于 07-24 09:04 1955次阅读
    <b class='flag-5'>Vivado</b>调用<b class='flag-5'>Modelsim</b>仿真

    Vivado增量编译的基本概念、优点、使用方法以及注意事项

    随着FPGA设计的复杂度不断提高,设计人员需要选择更为高效的设计流程来保证开发效率和减少开发成本。其中,Vivado增量编译是一种非常重要的设计流程。本文将介绍Vivado增量编译的基
    的头像 发表于 05-25 18:25 3239次阅读
    <b class='flag-5'>Vivado</b>增量<b class='flag-5'>编译</b>的基本概念、优点、使用<b class='flag-5'>方法</b>以及注意事项

    Vivado关联第三方编辑器的方法

    Vivado是一个非常强大的工具,但是在一些方面可能不能完全满足我们的需求,比如代码编辑器的功能。幸运的是,Vivado允许我们关联第三方编辑器来扩展其代码编辑器的功能。本文将介绍如何配置Vi
    的头像 发表于 05-16 16:36 883次阅读
    <b class='flag-5'>Vivado</b><b class='flag-5'>关联</b>第三方编辑器的<b class='flag-5'>方法</b>

    使用Vivado调用questasim仿真报错的原因及其解决办法

    有一天使用Vivado调用questasim(modelsim估计也一样),仿真报错
    的头像 发表于 05-08 17:12 1859次阅读

    Vivado调用Questa Sim仿真中存在的一些问题

    首先说明一下Modelsim与Questa Sim都可以与Vivado联调,也比较相似,但是Questa Sim比Modelsim功能更加广泛
    的头像 发表于 05-08 11:19 3248次阅读
    <b class='flag-5'>Vivado</b>调用Questa Sim仿真中存在的一些问题