0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

一文带你们了解什么是CORDIC算法

电子工程师 来源:OFweek维科网 作者: 科学文化人 2021-04-11 11:16 次阅读

CORDIC算法简介

信号处理领域,CORDIC(Coordinate Rotation Digital Computer,坐标旋转数字计算机)算法具有重大工程意义。CORDIC算法由Vloder于1959年在设计美国航空导航控制系统时提出,主要用于解决导航系统中三角函数、反三角函数和开方等运算的实时计算问题。

1971年,Walther将圆周系统、线性系统和双曲线系统统一到一个CORDIC迭代方程里,从而额提出了一种统一的CORDIC算法形式。

CORDIC算法的核心是利用加法和移位的迭代操作去替代复杂的运算,从而非常有利于硬件实现。CORDIC算法应用广泛,如离散傅里叶变换(DFT)、离散余弦变换(DCT)、离散Hartley变换、Chirp-Z变换、各种滤波以及矩阵中的奇异值分解。

在工程领域,可采用CORDIC算法实现直接数字频率合成器(DDS)、计算I/Q信号的幅度和相位。

01CORDIC基本原理

我们假设在笛卡尔坐标系(也就是我们常见的XY直角坐标系)中,将点(x1,y1)旋转θ角度到点(x2,y2)的标准方法如下所示:

4e0868e4-99a8-11eb-8b86-12bb97331649.png

根据上图,我们利用高中学习的三角函数、圆方程和极坐标等中学知识,可以得到:

4e1d3544-99a8-11eb-8b86-12bb97331649.png

这被称为是平面旋转、向量旋转或者线性 ( 矩阵) 代数中的 Givens 旋转。

上面的式子,我们将大学二年级学习的线性代数知识拿出来,用矩阵的形式来表示,于是得到:

4e28e5a6-99a8-11eb-8b86-12bb97331649.png

例如,我们做一个90°的相移,即θ=90:

4e3b4016-99a8-11eb-8b86-12bb97331649.png

这里注意cos和sin函数在直角坐标系下的物理意义,于是我们得到下面的图示。

4e4b0ffa-99a8-11eb-8b86-12bb97331649.png

上面的第一个式子,我们假设提出一个公因子cosθ,那么我们可以得到:

4e5399cc-99a8-11eb-8b86-12bb97331649.png

如果去除项,我们得到 伪旋转 方程式 :

4e683a44-99a8-11eb-8b86-12bb97331649.png

即旋转的角度是正确的,但是x 与 y 的值增加cos-1θ 倍 ( 由于cos-1θ》 1),所以模值变大。

注意我们并不能通过适当的数学方法去除cosθ 项 , 然而随后我们发现去除项可以简化坐标平面旋转的计算操作。

怎么说呢?

在XY坐标系中,结合上面的伪旋转公式,我们可以用下图表示:

4e73f26c-99a8-11eb-8b86-12bb97331649.png

于是,我们得出以下结论:

经过伪旋转之后,向量 R 的模值将增加1/cosθ 倍。

向量旋转了正确的角度 , 但模值出现错误。

经过伪旋转后, 输出进行适当的幅度伸缩(1/cosθ),是不是就可以得到旋转后的坐标了。

02CORDIC方法

CORDIC 方法的核心是 ( 伪) 旋转角θ,其中,

4e81160e-99a8-11eb-8b86-12bb97331649.png

这个等式是怎么推导出来的呢?

所以方程为:

4e9c3628-99a8-11eb-8b86-12bb97331649.png

下面的表格指出用于 CORDIC 算法中每个迭代 (i) 的旋转角度 (精确到 9位小数):

4eb06ee0-99a8-11eb-8b86-12bb97331649.png

note:由于i是整数,所以对应的角度值都是一一确定的,只能通过几个角度的加减组合来达到你所想要的角度值。

注意有三个方面的变化:

角度累加(减)

坐标值累加(减)

向量的模(也就是长度的,相对于横纵坐标的)累加(减)

这三个累加的变化时不一样的,注意区别,角度的累加和长度的累加有一定的对应关系。

4ed6a65a-99a8-11eb-8b86-12bb97331649.png

03角度累加器

4f11f962-99a8-11eb-8b86-12bb97331649.png

4f58711c-99a8-11eb-8b86-12bb97331649.png

上述三个方程式为圆周坐标系中用于角度旋转的 CORDIC 算法的表达式。后续部分中我们还将看到CORDIC 算法被用于其它的坐标系,通过使用这些坐标系可以执行更大范围的函数计算。

04移位-加法算法

因此, 原始的算法现在已经被减化为使用向量的伪旋转来表示的迭代移位-相加算法 :

4fadaccc-99a8-11eb-8b86-12bb97331649.png

因此,每个迭代需要:

4fe6347a-99a8-11eb-8b86-12bb97331649.png

note:前面提到的去除 cos 项的原因是显而易见的。当将该项去除时,转换公式已经被简化为伪旋转的迭代移位相加计算。

CORDIC 硬件实现结构:

500ead74-99a8-11eb-8b86-12bb97331649.png

05伸缩因子

前面提到,为了得到伪旋转公式,我们把公因子cosθ忽略了,但在实际运算中,不能就这样简单粗暴抛弃。

我们再次对cosθ进行变形:

50222e62-99a8-11eb-8b86-12bb97331649.png

于是,我们可以得到:

5036b080-99a8-11eb-8b86-12bb97331649.png

如果我们已知了将被执行的迭代次数,我们便可以预先计算出 1/Kn 的值,并通过将 1/Kn 与 x(n) 和 y(n)相乘来校正x(n) 和 y(n) 的最终值。

CORDIC有两种工作模式:旋转模式和向量模式。

50810b94-99a8-11eb-8b86-12bb97331649.png

50f33318-99a8-11eb-8b86-12bb97331649.png

51fd388a-99a8-11eb-8b86-12bb97331649.png

520ef584-99a8-11eb-8b86-12bb97331649.png

06三种坐标系下的CORDIC

5565e846-99a8-11eb-8b86-12bb97331649.png

55a30320-99a8-11eb-8b86-12bb97331649.png

然而, 我们将会看到,通过考虑其它坐标系中的旋转, 我们可以直接计算更多的函数, 如乘法和除法, 进而间接计算更多的其它函数。

55d58d90-99a8-11eb-8b86-12bb97331649.png

使用其它坐标系的 CORDIC 算法的优点是可以计算更多的函数, 而缺点则是系统将变得更加复杂。当把CORDIC 算法用于线性或双曲坐标系时, 在圆周坐标系中的旋转角度集将不再有效。所以, 这些系统应使用其它的两种旋转角度集。

我们会发现,可以推导出可在 3 个坐标系中表示 CORDIC 方程的通用公式。这意味着在方程式中引入两个新变量。其中一个新变量 (e(i)) 代表了适当的坐标系中用于表示旋转的角度集。

当把CORDIC算法用于双曲线旋转时,伸缩因子K与圆周旋转的因子有所不同。

5603f3a6-99a8-11eb-8b86-12bb97331649.png

我们通过引入一个新变量μ,得到CORDIC的通用方程:

562dfe80-99a8-11eb-8b86-12bb97331649.png

至此,三个坐标系下的CORDIC方程得到大一统。

5664387e-99a8-11eb-8b86-12bb97331649.png

5683033a-99a8-11eb-8b86-12bb97331649.png

56cfc0d0-99a8-11eb-8b86-12bb97331649.png

56d9721a-99a8-11eb-8b86-12bb97331649.png

在使用FPGA进行CORDIC算法实现时,理想CORDIC 架构取决于具体应用中速率与面积的权衡。

可以将 CORDIC 方程直接翻译成迭代型的位并行设计,然而:

位并行变量移位器不能很好地映射到 FPGA 中

需要若干个 FPGA 单元。导致设计规模变大而设计时间变长

参考文献

关于 CORDIC 算法的基础以及细节问题,可参见下面的材料 :

[1] R. Andraka. A survey of CORDIC algorithms for FPGA based computers. www.andraka.com/cordic.htm

[2] The CORDIC Algorithms. www.ee.byu.edu/ee/class/ee621/Lectures/L22.PDF

[3] CORDIC Tutorial. http://my.execpc.com/~geezer/embed/cordic.htm

[4] M. J. Irwin. Computer Arithmetic. http://www.cse.psu.edu/~cg575/lectures/cse575-cordic.pdf

编辑:jq

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 计算机
    +关注

    关注

    19

    文章

    6617

    浏览量

    84032
  • COS
    COS
    +关注

    关注

    1

    文章

    24

    浏览量

    19870
  • CORDIC算法
    +关注

    关注

    0

    文章

    17

    浏览量

    9689

原文标题:什么是CORDIC算法

文章出处:【微信号:HXSLH1010101010,微信公众号:FPGA技术江湖】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    带你了解PWM原理、频率与占空比

    什么是PWM脉冲宽度调制(PWM),是英文“Pulse Width Modulation”的缩写,简称脉宽调制,是利用微处理器的数字输出来对模拟电路进行控制的种非常有效的技术,广泛应用在从测量
    发表于 03-27 14:12

    电机干货!了解电机的原理及分类

    了解电机的原理及分类 电机是传动及控制系统中的重要部分,目前电机应用的重点也从过去简单的传动向电机的速度、位置、转矩的精确控制转移; 电机为何能够转动?电机又有哪些分类?不同工作环境下需要选用
    发表于 03-12 09:35

    带你了解FPGA直方图操作

    直方图概念和分类 图像直方图用作数字图像中色调分布的图形表示。它绘制了每个色调值的像素数。通过查看特定图像的直方图,观看者将能够目了然地判断整个色调分布。 图表的水平轴代表色调变化,而垂直轴代表该
    发表于 01-10 15:07

    一文带你了解 DAC

    一文了解 DAC
    的头像 发表于 12-07 15:10 3950次阅读
    一文<b class='flag-5'>带你</b><b class='flag-5'>了解</b> DAC

    基于流水线CORDIC算法通用数字调制器的FPGA实现方案

    电子发烧友网站提供《基于流水线CORDIC算法通用数字调制器的FPGA实现方案.pdf》资料免费下载
    发表于 10-27 09:46 0次下载
    基于流水线<b class='flag-5'>CORDIC</b><b class='flag-5'>算法</b>通用数字调制器的FPGA实现方案

    FPGA实现Cordic算法求解arctanθ

    由于在项目中需要使用的MPU6050,进行姿态解算,计算中设计到arctan 和 sqr(x*2 + y * 2),这两部分的计算,在了解了一番之后,发现Cordic算法可以很方便的一次性求出这两个这两部分的计算。
    的头像 发表于 09-27 09:30 700次阅读
    FPGA实现<b class='flag-5'>Cordic</b><b class='flag-5'>算法</b>求解arctanθ

    STM32 Cordic运算速度评估

    电子发烧友网站提供《STM32 Cordic运算速度评估.pdf》资料免费下载
    发表于 09-19 16:56 0次下载
    STM32 <b class='flag-5'>Cordic</b>运算速度评估

    快乐解说MCU:三分钟,带你了解低功耗MCU

    快乐解说MCU:三分钟,带你了解低功耗MCU
    的头像 发表于 09-18 10:56 753次阅读

    带你了解:微带功分器有哪些特点?

    功分器是微波电路设计中常见的种无源元器件,又被称为功率分配器,顾名思义就是将输入功率按照定比例分配成N路功率输出的种微波元器件。如图所示,P0端进入的信号分为两路信号从P1和P2端输出
    发表于 08-31 15:39

    怎样使用CORDIC算法求解角度正余弦呢?

    CORDIC(Coordinate Rotation Digital Computer)算法即坐标旋转数字计算方法,是J.D.Volder1于1959年首次提出,主要用于三角函数、双曲线、指数、对数的计算。
    的头像 发表于 08-31 14:54 1131次阅读
    怎样使用<b class='flag-5'>CORDIC</b><b class='flag-5'>算法</b>求解角度正余弦呢?

    Cordic IP用户手册

    CORDIC(Coordinate Rotation Digital Computer)算法即坐标旋转数字计算方法,是 J.D.Volder1于 1959 年首次提出,主要用于三角函数、双曲线、指数
    发表于 08-09 06:45

    xilinx vivado调用cordic IP核进行实现时报错多重驱动?

    ]}}, i_yin, {7{w_x_in[8]}}, w_x_in}; //手动扩位处理,保持与cordic ip输入数据位宽要求cordic_0 cordic_0_in
    发表于 06-06 17:17

    3分钟了解ePort关键设计技巧

    ePort-M模块到手,通信接口不了解?TX线需要串接电阻?信号线不懂处理、走线麻烦?3分钟带你了解ePort关键设计技巧,轻松上手!
    的头像 发表于 05-29 12:00 585次阅读
    3分钟<b class='flag-5'>了解</b>ePort关键设计技巧

    一文带你全方位了解配置MCU的纽瑞芯UWB芯片新品81880

    的一款UWB SoC,本文带你全方位了解81880的优异性能。 NRT81880是一款高性能UWB SoC芯片,符合IEEE 802.15.4 / 4z协议标准以及FiRa联盟规范,集成了一个高性能
    发表于 05-05 14:03 1540次阅读
    一文<b class='flag-5'>带你</b>全方位<b class='flag-5'>了解</b>配置MCU的纽瑞芯UWB芯片新品81880

    HAS 2023|一张图带你了解Net5.5G

    点击“阅读原文”,了解更多大会信息! 原文标题:HAS 2023|一张图带你了解Net5.5G 文章出处:【微信公众号:华为数据通信】欢迎添加关注!文章转载请注明出处。
    的头像 发表于 04-14 21:10 469次阅读
    HAS 2023|一张图<b class='flag-5'>带你</b><b class='flag-5'>了解</b>Net5.5G