0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

如何加速Modelsim仿真时间?

FPGA之家 来源: FPGA开源工作室 作者: FPGA开源工作室 2021-04-02 13:58 次阅读

Modelsim加速仿真技巧

《前言》

最近在Modelsim仿真过程中,遇到一个大问题,对于分辨率2048*500的图像数据,在进行时序约束中,发现算法模块最高只能跑到60Mhz多,而要求必须跑到100Mhz,因而时序不满足要求;通过看时序报告,关键路径基本上都是在reg to mem和mem to reg path上,尝试修改对逻辑处理影响较大。

如何在不修改核心算法逻辑的前提下,保证功能和时序满足,办法还是有的,后来发现100Mhz频率下算法模块输入的数据有效带宽只有50% ,因此考虑算法模块入口处增加一个整形的异步FIFO,写时钟100Mhz,读时钟50Mhz这样算法模块只工作在50Mhz时钟频率下,可以满足时序要求。同时也满足100Mhz接口时序的要求。

此时,增加一个时钟ip核来得到50Mhz,发现仿真速度极其慢,由原来的1~2分钟左右变为现在的1小时左右,定位个问题,效率太低了。

《如何加速Modelsim仿真时间》

首先,需要说明的是,Modelsim仿真时间长短,也有电脑配置有关,i7+16G的配置其实也就10分钟左右 ,i5+8G的配置就是1小时多。

其次,就是在仿真平台上下功夫:

方法一:修改代码仿真精度,精度越高,Modelsim效率越低。1n/1ps 修改为 1n/1ns 速度可提升一倍。

方法二:减少层次结构,减少波形信号的显示。特别是输出的数据文件,能减少就减少。

方法三:在不影响功能的前提下,降低测试的图像分辨率,或者一些计数器适当缩短计数,都可以达到提速的目的。

方法四:当文件仿真系统有大量文件时,修改某个Module的信号,增量编译可以节省时间,verilog :vlog -incr vhdl:vcom -incr

方法五:减少IP的调用,比如我的这个慢的主要原因就是调用时钟IP的原因;这里两个时钟都可以在testbench 生成,大大减少了仿真时间,又回到了几分钟。

原文标题:Modelsim加速仿真技巧

文章出处:【微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

责任编辑:haq

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 仿真
    +关注

    关注

    50

    文章

    3871

    浏览量

    132135
  • ModelSim
    +关注

    关注

    5

    文章

    172

    浏览量

    46749

原文标题:Modelsim加速仿真技巧

文章出处:【微信号:zhuyandz,微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    最实用的Modelsim使用教程

    今天给大侠带来最实用的Modelsim初级使用教程,话不多说,上货。 一、 Modelsim简介 Modelsim仿真工具是Model公司开发的。它支持Verilog、VHDL
    发表于 03-19 16:40

    Modelsim报错, -novopt 开关打开,仿真失败

    在使用紫光同创PDS和Modelsim联合仿真时,modelsim报错不会解决,如下图
    发表于 02-18 10:26

    如何使用 ModelSim 进行设计仿真

    ModelSim为HDL仿真工具,我们可以利用该软件来实现对所设计的VHDL或Verilog程 序进行仿真,支持IEEE常见的各种硬件描述语言标准。可以进行两种语言的混合仿真,但 推荐
    发表于 01-14 09:47 0次下载

    怎样单独使用modelsim仿真xilinx呢?

    直接在modelsim软件内执行.do文件进行仿真,不通过vivado调用modelsim,vivado仅用于生成IP核。
    的头像 发表于 12-04 18:26 565次阅读
    怎样单独使用<b class='flag-5'>modelsim</b><b class='flag-5'>仿真</b>xilinx呢?

    如何用Python实现Vivado和ModelSim仿真自动化?

    我们在Windows系统下使用Vivado的默认设置调用第三方仿真器比如ModelSim进行仿真时,一开始仿真软件都会默认在波形界面中加载testbench顶层的信号波形
    的头像 发表于 09-13 09:23 749次阅读
    如何用Python实现Vivado和<b class='flag-5'>ModelSim</b><b class='flag-5'>仿真</b>自动化?

    如何加速PCIe仿真

    不同而所有差异),而如果进行网表级别的仿真一般需要1周以上的时间。此时加速PCIe仿真,提高效率是我们必须考虑的问题。常见的加速模式有如下三
    的头像 发表于 08-17 09:42 788次阅读
    如何<b class='flag-5'>加速</b>PCIe<b class='flag-5'>仿真</b>

    使用modelsim仿真时为什么会出现error呀?

    使用modelsim仿真时为什么会出现error呀
    发表于 08-12 07:57

    将vivado的仿真器改成modelsim仿真modelsim的transcript没法打印出e203实时运行的信息怎么解决?

    原来仿真使用的是vivado simulator,最近将vivado的仿真器改成modelsim,发现仿真的时候modelsim的trans
    发表于 08-11 09:47

    使用vivado的仿真仿真时,modelsim的transcript界面无法输出C程序的printf语句是为什么?

    我现在将vivado和modelsim做了联合仿真,用来仿真蜂鸟e203协处理器扩展实现的功能。现在的问题是:使用vivado的仿真仿真
    发表于 08-11 06:44

    vivado软件和modelsim软件的安装方法

    本文详细介绍了vivado软件和modelsim软件的安装,以及vivado中配置modelsim仿真设置,每一步都加文字说明和图片。
    的头像 发表于 08-07 15:48 1681次阅读
    vivado软件和<b class='flag-5'>modelsim</b>软件的安装方法

    Vivado调用Modelsim仿真

    Modelsim是十分常用的外部仿真工具,在Vivado中也可以调用Modelsim进行仿真,下面将介绍如何对vivado进行配置并调用Models
    的头像 发表于 07-24 09:04 1954次阅读
    Vivado调用<b class='flag-5'>Modelsim</b><b class='flag-5'>仿真</b>

    modelsim自动化仿真实验 利用脚本实现modelsim自动化仿真

    大家好!今天给大家带来的是modelsim自动化仿真程序。我们在代码编写完成时,通常都需要先进行仿真,然后上板实验。但是如果我们每次仿真都要去新建一个工程,添加.v文件以及testbe
    的头像 发表于 07-19 10:10 1050次阅读
    <b class='flag-5'>modelsim</b>自动化<b class='flag-5'>仿真</b>实验 利用脚本实现<b class='flag-5'>modelsim</b>自动化<b class='flag-5'>仿真</b>

    MES50HP——PDS与Modelsim联合仿真教程

    ,目前支持ModelSim和QuestaSim,本教程选择ModelSim; 【Language】:仿真库用的语言; 【Library】:选择 usim 则是 GTP 前仿库,vsim 则是 VOP 后
    发表于 06-26 10:45

    modelsim仿真和实际上板结果不一致的原因及其解决办法

    某项目在完成算法模型的modelsim仿真之后,开始硬件上板调试。
    的头像 发表于 06-11 15:04 2886次阅读

    【正点原子DFPGL22G开发板体验】ModelSim 的安装和使用 FPGA开发仿真

    PDS 和仿真软件 Modelsim 放在 B 盘),文件列 表如下图所示:使用 Modelsim 软件需要添加 License,请多多支持正版。至此,Modelsim 安装完成。学
    发表于 04-30 17:24