0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

浅谈如何在Vivado中更改自定义的Interface方法

电子工程师 来源:XILINX技术社区 作者:XILINX技术社区 2021-03-30 15:49 次阅读

Q: 如何在 Vivado 中更改自定义的 Interface?

因为 BD 中连线太多,所以想自定义下 interface 简化连线,定义好了一个 interface,但当准备在自定义 IP 中指定它时,发现我把一个信号的方向搞错了,应该定义成 out,但实际定义成了 in,所以想简单的改一下方向。

在此过程中,发现 Vivado 能新建 Interface,而无法 change interface,所谓的 interface 好像就是两个 XML 文件,打开 XML,然后将里面的 in, 改为 out,以为这样就成功了,结果在自定义 IP 中指定此接口时,信号方向还是 in,是软件缓存没更新吗?

a9087cc6-8f48-11eb-8b86-12bb97331649.png

然后先后尝试了重启 Vivado, 在 setting-IP 里 refresh,在 Ip catalog 中选中此接口再右击refresh,这些都没有用,接口方向还是 in,但其实 XML 文件中确实已经改为了 out,而没有被软件自动改回去。

所以 请问这种情况下如何去修改一个自定义的 interface?

A: 设置 IP Repo 指向你的 interface 文件,然后使用 extent from 创建新接口(名字和原来的一样,只是保存到其他的 Repo),在界面中任意修改 port 的方向,完全没有问题。接下来去掉原来的 IP Repo,指向自己创建的 Repo。

另一种更改interface的方法,通过Vivado直接打开 Interface进行更改。在 Open-》OpenIP-XACT file 处修改。
编辑:lyn

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • Interface
    +关注

    关注

    0

    文章

    101

    浏览量

    38516
  • Vivado
    +关注

    关注

    18

    文章

    781

    浏览量

    65011

原文标题:本周一问 | 如何在 Vivado 中更改自定义的 Interface

文章出处:【微信号:gh_2d1c7e2d540e,微信公众号:XILINX开发者社区】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    博途用户自定义库的使用

    博途官方提供了很多库,比如:基本函数库、通信库、安全库、驱动库等等,用户可以使用库中的函数/函数块来完成具体的控制任务。除了官方的库,我们也可以创建自己的库(用户自定义库)。比如,把项目
    的头像 发表于 12-25 10:08 246次阅读
    博途用户<b class='flag-5'>自定义</b>库的使用

    何在Matlab中自定义Message

    自定义Message 当我们的 message 消息比较复杂时,通常要用到自定义的 message 消息,MATLAB 2020b以上的版本自带了ROS Toolbox Interface
    的头像 发表于 11-15 18:12 366次阅读
    如<b class='flag-5'>何在</b>Matlab中<b class='flag-5'>自定义</b>Message

    Android端自定义铃声 MobPush对安卓端自定义铃声的教程

    如何为APP推送设置独特的通知铃声呢?本次带来的是MobPush对安卓端自定义铃声的教程,快来看看吧~
    的头像 发表于 10-21 15:34 782次阅读
    Android端<b class='flag-5'>自定义</b>铃声 MobPush对安卓端<b class='flag-5'>自定义</b>铃声的教程

    单片机自定义协议FIFO高效发送数据方法

    单片机自定义协议FIFO高效发送数据方法
    的头像 发表于 09-28 17:32 456次阅读
    单片机<b class='flag-5'>自定义</b>协议FIFO高效发送数据<b class='flag-5'>方法</b>

    OpenHarmony自定义构建函数:@Builder装饰器

    () { ... } ● 允许在自定义组件内定义一个或多个自定义构建函数,该函数被认为是该组件的私有、特殊类型的成员函数。 ● 自定义构建函数可以在所属组件的build
    发表于 09-26 16:36

    OpenHarmony自定义组件介绍

    从父组件通过参数传递初始化子组件的成员变量,请参考状态管理。 自定义组件的参数规定 从上文的示例,我们已经了解到,可以在build方法或者@Builder装饰的函数里创建自定义组件,
    发表于 09-25 15:36

    何在Cortex-M0+单片机中自定义ASFv3 SAM-BA自举程序

    电子发烧友网站提供《如何在Cortex-M0+单片机中自定义ASFv3 SAM-BA自举程序.pdf》资料免费下载
    发表于 09-25 09:39 0次下载
    如<b class='flag-5'>何在</b>Cortex-M0+单片机中<b class='flag-5'>自定义</b>ASFv3 SAM-BA自举程序

    Vivado设计套件用户指南:创建和打包自定义IP

    电子发烧友网站提供《Vivado设计套件用户指南:创建和打包自定义IP.pdf》资料免费下载
    发表于 09-13 14:54 0次下载
    <b class='flag-5'>Vivado</b>设计套件用户指南:创建和打包<b class='flag-5'>自定义</b>IP

    Vivado Design Suite用户指南:创建和打包自定义IP

    电子发烧友网站提供《Vivado Design Suite用户指南:创建和打包自定义IP.pdf》资料免费下载
    发表于 09-13 11:34 0次下载
    <b class='flag-5'>Vivado</b> Design Suite用户指南:创建和打包<b class='flag-5'>自定义</b>IP

    labview超快自定义控件制作和普通自定义控件制作

    labview超快自定义控件制作和普通自定义控件制作
    发表于 08-21 10:32 5次下载

    教程 3:构建自定义配置文件

    教程 3:构建自定义配置文件
    发表于 07-06 18:49 0次下载
    教程 3:构建<b class='flag-5'>自定义</b>配置文件

    教程 2:自定义配置文件示例

    教程 2:自定义配置文件示例
    发表于 07-04 20:50 0次下载
    教程 2:<b class='flag-5'>自定义</b>配置文件示例

    自定义AXI-Lite接口的IP及源码分析

    Vivado自定义 AXI4-Lite 接口的 IP,实现一个简单的 LED 控制功能,并将其挂载到 AXI Interconnect 总线互联结构上,通过 ZYNQ 主机控制,后面对 Xilinx 提供的整个 AXI4-Lite 源码进行分析。
    发表于 06-25 16:31 1938次阅读
    <b class='flag-5'>自定义</b>AXI-Lite接口的IP及源码分析

    带计时器的自定义锻炼

    电子发烧友网站提供《带计时器的自定义锻炼.zip》资料免费下载
    发表于 06-16 10:29 0次下载
    带计时器的<b class='flag-5'>自定义</b>锻炼

    labview自定义控件

    labview自定义精美控件
    发表于 05-15 16:46 9次下载