0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

关于Vivado版本升级导致的IP锁定的俩种解决办法浅析

Hx 来源:CSDN技术社区 作者:小青菜哥哥 2021-04-21 16:20 次阅读

打开旧版本的vivado工程后,会弹出如下图窗口要求用户选择(图1)。如果用户需要重新修改工程的话,就选择第一种,反之如果只需要查看工程,并不做修改,就选择第二种。

100062576-124649-tu_1.png

图1

我们选择第一种方式来实现IP核的更新和可修改操作。打开工程后会出现如下图所示的工程,工程中的IP核会标红并有一个锁的标志(图2),此时该IP核不可以被更新和编辑。

100062576-124650-tu_2.png

图2

更新IP核的方法有两种:

方法一:

查询IP核的状态报告 菜单栏:Reports-》 Report IP Status(图3),在vivado的底部窗口会弹出工程所有的IP核的状态(图4),选择需要更新的IP核即可。更新完成后,被锁住的IP就可以正常配置了。

100062576-124651-tu_3.png

图3

100062576-124652-tu_4.png

图4

方法二:

在 Tcl console中 执行如下一条命令即可:upgrade_ip [get_ips]。按下回车键即可同时更新工程所有的IP核图(5)。

100062576-124653-tu_5.png

图5

通过上述两种方法更新后的IP核状态如下图6所示:双击已经能够正常编辑,红色锁也消失了。

100062576-124654-tu_6.png

编辑:lyn

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • IP
    IP
    +关注

    关注

    5

    文章

    1401

    浏览量

    148267
  • Vivado
    +关注

    关注

    18

    文章

    789

    浏览量

    65091
收藏 人收藏

    评论

    相关推荐

    Profinet IO通信故障的解决办法

    Profinet IO通信故障可能由多种原因引起,以下是一些常见的通信故障及其解决办法
    的头像 发表于 03-08 11:27 326次阅读

    晶振失效三大原因及解决办法

    晶振失效三大原因及解决办法 晶振失效是指晶体振荡器无法正常工作,造成电子设备不能正常运行的情况。晶振在电子设备中起到非常关键的作用,它是产生时钟信号的核心元件。晶振失效会导致设备的计时不准确甚至
    的头像 发表于 01-24 15:40 461次阅读

    FPGA实现基于Vivado的BRAM IP核的使用

    文章是基于Vivado的 2017.1的版本,其他版本都大同小异。 首先在Vivado界面的右侧选择IP Catalog 选项。
    的头像 发表于 12-05 15:05 488次阅读

    研华工控机故障及解决办法(四)

    研华工控机故障及解决办法(四)
    的头像 发表于 11-06 15:55 427次阅读
    研华工控机故障及<b class='flag-5'>解决办法</b>(四)

    细碎机轴承位磨损问题的解决办法

    【设备故障】细碎机轴承位磨损问题的解决办法
    发表于 10-27 16:36 0次下载

    硬盘故障的3个终极解决办法

    电子发烧友网站提供《硬盘故障的3个终极解决办法.pdf》资料免费下载
    发表于 10-20 10:46 0次下载
    硬盘故障的3个终极<b class='flag-5'>解决办法</b>

    J-Link连接MCU失败解决办法

    J-Link连接MCU失败解决办法
    的头像 发表于 10-18 17:43 647次阅读
    J-Link连接MCU失败<b class='flag-5'>解决办法</b>

    为什么说Vivado是基于IP的设计?

    Vivado是Xilinx公司2012年推出的新一代集成开发环境,它强调系统级的设计思想及以IP为核心的设计理念,突出IP核在数字系统设计中的作用。
    的头像 发表于 09-17 15:37 1141次阅读
    为什么说<b class='flag-5'>Vivado</b>是基于<b class='flag-5'>IP</b>的设计?

    Vivado中BRAM IP的配置方式和使用技巧

    FPGA开发中使用频率非常高的两个IP就是FIFO和BRAM,上一篇文章中已经详细介绍了Vivado FIFO IP,今天我们来聊一聊BRAM IP
    的头像 发表于 08-29 16:41 2947次阅读
    <b class='flag-5'>Vivado</b>中BRAM <b class='flag-5'>IP</b>的配置方式和使用技巧

    如何在Vivado中配置FIFO IP

    Vivado IP核提供了强大的FIFO生成器,可以通过图形化配置快速生成FIFO IP核。
    的头像 发表于 08-07 15:36 1895次阅读
    如何在<b class='flag-5'>Vivado</b>中配置FIFO <b class='flag-5'>IP</b>核

    功率放大仿真之loadpull的解决办法

    ADS关于:HB1Tone_LoadPull:schemic does not exist的解决办法
    的头像 发表于 06-30 10:52 2234次阅读
    功率放大仿真之loadpull的<b class='flag-5'>解决办法</b>

    VCS独立仿真Vivado IP核的问题补充

    在仿真Vivado IP核时分两种情况,分为未使用SECURE IP核和使用了SECURE IP核。
    的头像 发表于 06-20 14:23 651次阅读
    VCS独立仿真<b class='flag-5'>Vivado</b> <b class='flag-5'>IP</b>核的问题补充

    VCS独立仿真Vivado IP核的问题补充

    在仿真Vivado IP核时分两种情况,分为未使用SECURE IP核和使用了SECURE IP核。
    的头像 发表于 06-06 14:45 1273次阅读
    VCS独立仿真<b class='flag-5'>Vivado</b> <b class='flag-5'>IP</b>核的问题补充

    PCBA加工焊点拉尖产生的原因及解决办法

    一站式PCBA智造厂家今天为大家讲讲什么是PCBA加工焊点拉尖?PCBA加工焊点拉尖产生原因及解决办法。接下来为大家介绍PCBA加工焊点拉尖产生原因及解决办法
    的头像 发表于 05-10 08:56 985次阅读

    Vivado生成IP

    vivado生成ip核后缺少一大片文件,之前是可以用的,中途卸载过Modelsim,用vivado打开过ISE工程,因为工程中很多IP核不能用所以在重新生成过程中发现了这个问题,还请
    发表于 04-24 23:42