0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

聚焦“两会”:了我们看看科技大佬们身上都带有哪些关键词

厦门市物联网行业协会 来源:北京电子学会 作者:北京电子学会 2021-03-09 11:15 次阅读

2021年全国“两会”今日正式启幕。在“十四五”开局之年,贯彻新发展理念、构建新发展格局、推动高质量发展成为中国未来发展主题。与会代表共商国是,举世瞩目,意义重大。那么,今年科技领域的代表委员们都带来了哪些提案议案?提供了哪些解决问题的新视角?数据观带你一探究竟。

百度李彦宏

提案关键词:自动驾驶、数据开放、人工智能

今年全国“两会”,百度公司董事长兼CEO李彦宏李彦宏提交了5份提案,涉及自动驾驶和智能交通、智慧养老进社区、互联网平台数据开放共享、人工智能教育体系、未成年人网络安全教育等。

1、在“加快自动驾驶商用和智能交通普及”提案中,李彦宏建议国家层面进一步加强政策创新,支持自动驾驶商用和智能交通普及,满足老百姓的美好出行需求,早日实现交通领域碳达峰的目标。

2、在“加快推动智慧养老进社区”提案中,李彦宏建议相关部门加强政策引导,鼓励更多智能设备进社区,拓展和深化智慧养老服务。

首先,加速实现与老年人相关的医疗服务与健康管理设备智能化;其次,引导企业扩大适老化智能设备供给,全面普及以语音为核心,结合眼神、手势等多模交互的人工智能助手;最后,依托人工智能产品和技术,在具备条件的城市选择老年人较多、陪护需求较高的社区进行智慧养老试点。

3、在“加强互联网平台数据开放共享”提案中,李彦宏建议一是有关部门选取老百姓获取信息服务密切相关的领域作为试点,如资讯信息,构建不同互联网平台之间信息共享的机制,打破现有“信息壁垒”模式,促进信息和知识的自由流动,逐步引导规范互联网开放生态的回归,提升用户体验;二是强化互联网平台的数据开放及安全监督工作,对各平台的信息开放共享和信息质量开展评估。

4、在“加强人工智能多层次教育体系建设”提案中,李彦宏建议引导校企联合,支持龙头企业成为人工智能人才培养的重要支撑力量,共同打造面向高等教育、职业教育的人工智能、深度学习、自动驾驶等课程;支持龙头企业参与新职业论证开发,加大人工智能领域相关职业技能等级证书开发和推广,及时将新技术用于学生和从业人员的技术技能评价中;在政府指导下,引导龙头企业、平台企业,研判产业和人工智能技术融合过程中产生的新需求,加强人工智能领域新业态新场景研究,设立人工智能职业技术发展景气指数监测体系等,并及时发布人才需求预测。

5、在“加强未成年人网络权益保护”提案中,李彦宏建议政府主管部门牵头,加快建立统一标准的未成年人网络安全教育体系,鼓励社会各主体积极参与,让未成年人网络安全的“保护网”更牢固。

腾讯马化腾

提案关键词:数字治理、碳中和、新就业

今年全国“两会”,腾讯公司董事会主席兼CEO马化腾拟提交多份书面建议,涉及乡村振兴、新就业、碳中和、数字治理、粤港澳大湾区、生态环保等领域。

1、在“数字经济治理”提案中,马化腾建议,P2P、共享单车、长租房和社区团购,尤其要关注用户的资金安全,必须严格纳入监管。互联网出行、货运要有安全监管机制。对平台经济从业者的全面发展要有机制保障。

马化腾进一步表示,应高度重视数字经济、平台经济发展过程中出现的新矛盾和新问题,进一步推动政府、市场、社会、企业形成合力,积极探索创新监管与治理方式,强化企业社会责任与合规发展理念,在全社会共享数字经济发展成果,完全有能力走出一条中国特色数字经济治理新路,构建未来发展优势,为全球数字经济治理提供中国方案、中国智慧。

2、在“利用数字科技促进乡村振兴”提案中,马化腾建议,引导互联网科技与智慧农业“同频共振”,加快推动农业生产数字化。具体建议包括:实施新型职业农民培育工程,针对返乡创业的“新农人”和乡村治理基层骨干,加强农业科技、电子商务等新型农业技能培训;在乡村公共服务和应急管理的“数字化”领域,系统化推广政务公开、便民服务、乡村特色等平台;探索利用数字化手段帮助解决农村留守儿童、空巢老人的问题等。

3、在“加快推动新就业形态发展”提案中,马化腾建议,要拓宽灵活就业群体参与社会保障的渠道;为就业困难群体提供免费在线课程和就业指导服务;建设劳动者终身学习的“数字学堂”等。

4、在“推进我国科技企业实现碳中和”提案中,马化腾提出了多项具体建议:包括优化数据中心布局,完善绿电采购途径,鼓励企业投资可再生能源项目、分布式能源项目等;搭牢碳中和配套基础设施,推动碳中和市场化;加快绿色技术研发,推动绿色数据中心等低碳技术创新应用,建立一批高技术高能效“碳中和数据中心”;出台科技企业碳中和指导意见,鼓励科技企业设立碳中和承诺和目标等。

小米雷军

提案关键词:智能制造、智能技术适老化

今年全国“两会”,小米集团董事长兼CEO雷军提交了三项建议:关于进一步推动我国智能制造发展的建议、关于运用智能技术帮助老年人更好融入数字生活的建议、关于加强数字化赋能县域医防融合发展的建议。

1、在“推动我国智能制造”提案中,雷军建议,营造有规划可预期的宏观政策环境,引导龙头企业更好发挥示范带动作用;推动产学研协同研发,组建创新联合体,夯实智能制造装备及关键部件的基础研发能力;持续培育智能制造服务平台,力争在工业软件、智能制造系统方面取得更大突破;通过引进来、培养好、促转型等方式,加速填补智能制造人才缺口。

2、在“运用智能技术帮助老年人更好融入数字生活”提案中,雷军建议,推动老年人数字化服务尽快纳入国家信息化基础设施建设;指导建立智能技术适老化标准体系;推动智能技术适老场景与新兴业态融合发展;引导和鼓励全社会共同助力智能技术适老的宣传推广。

3、在“加强数字化赋能区县医防融合发展”提案中,雷军表示,在后疫情时代,加强数字化手段的运用,补短板、堵漏洞、强弱项,切实推动医防融合发展,已成为卫生健康领域的时代新课题。他建议:推动县域医防数据互联共享,争取实现全覆盖;鼓励运用前沿数字技术,加强医疗数据分析与应用;强化县域医疗信息化投入及人才建设。

网易丁磊

提案关键词:数字文旅、数字音乐、新能源

今年全国“两会”,网易公司董事长兼CEO丁磊围绕数字文化强国建设和重点民生建言献策,涉及数字文旅高质量发展、数字音乐文化传承、新能源汽车电池标准化等多个方面。

1、在“推动数字文旅产业高质量发展”提案中,丁磊提出,搭建数字文旅合作服务平台、打造一批“沉浸式旅游”范本项目、多举措刺激消费等建议,把数字文旅打造为疫后内循环新增长点。

2、在“发挥数字音乐文化传承作用”提案中,丁磊提出,整合数字化音乐资源推广地区、民族传统音乐,推动民歌、戏曲等与数字音乐新业态结合,探索AI技术辅助音乐创作,设立基金、减免税收鼓励原创音乐发展等建议举措。

3、在“推动新能源汽车电池国家标准建立”提案中,丁磊建议,出台动力电池的国家强制标准,“统一规格、互通互换”;将换电站作为新基建重点,有效解决续航里程有限的痛点,促进新能源汽车发展,为“蓝天保卫战“贡献力量。

360集团创始人周鸿祎

提案关键词:城市网络安全、智能汽车网络安全

今年全国“两会”,360公司董事长兼CEO周鸿祎提交了三份提案,分别涉及加强智能汽车网络安全、网络安全行业特殊人才认定和激励政策、加快构建智慧城市安全基座等方面。

1、在“加快构建智慧城市安全基座”提案中,周鸿祎提出,一是将城市级网络安全基础设施作为智慧城市的标配;二是开展城市级网络安全基础设施的统一安全运营;三是以城市级网络安全基础设施为载体进行服务赋能。

2、在“加强智能汽车网络安全”提案中,周鸿祎建议,首先,把网络安全系统像“安全带”一样列为智能汽车的标配;其次,推进智能汽车网络安全强制测试;最后,强化智能网联汽车产生的数据安全监管。

3、在“网络安全行业特殊人才给予认定和激励政策”提案中,周鸿祎建议,第一,要制定专门的网络安全特殊人才认定政策;第二,要对符合条件的网络安全人才给予个人税收优惠政策;第三,要对符合认定条件的网络安全特殊人才予以必要激励。

搜狗王小川

提案关键词:数字经济、适老化

今年全国“两会”,搜狗公司CEO王小川提交了关于加强数字经济产业规划、适应老龄化社会发展需要的提案。王小川认为,老龄化社会加速到来给数字经济产业提出了弥合“数字鸿沟”的紧迫任务。而长远看,人工智能、5G等信息技术的蓬勃发展,终将为我们应对老龄化社会的挑战、增加全民福祉带来帮助。

王小川建议,第一,不仅做互联网应用的适老化改造,还要在老龄化社会的基本前提下考量数字经济产业政策的全面和平衡;第二,尊重市场规律,调动企业作为市场主体的积极性,鼓励扶持与综合监管双管齐下;第三,聚合社会力量,形成产业内外协同。

联想杨元庆

提案关键词:算力、数字鸿沟

今年全国“两会”,联想集团董事长兼CEO杨元庆围绕加强IT基础设施、发展供应链金融、发展职业教育、帮助“银发族”跨越数字鸿沟、提高企业碳中和治理能力等方面提交建议。

1、在“推进算力基础设施建设”提案中,杨元庆建议,加强国家层面在算力基础设施建设的顶层设计和总体规划,引领算力基础设施整体有序发展;倡导建设多元化、智能化、高效能的新型算力基础设施,有效支撑数字经济的高质量发展;推动制定以应用效能为导向的算力评价体系和评测标准,引导算力基础设施建设健康发展。

2、在“帮助“银发族”跨越数字鸿沟”提案中,杨元庆建议:第一,在产品层面,鼓励企业推出更符合老年人需求的智能终端产品;第二,在服务层面,统筹考虑各类互联网便民服务的线上线下整合,便利老年人使用;第三,在安全方面,加强针对老年人的个人信息安全执法力度和个人隐私保护水平,切实保障老年人安全使用智能化产品、享受智能化服务。

3、在“发展供应链金融”提案中,杨元庆建议,一是进一步加大对供应链金融的支持力度;二是完善供应链金融贸易信用保险机制,建立多层次的保险市场;三是推动供应链金融领域应用金融科技,提高效率并防范风险;四是鼓励设立供应链金融领域基金,支持供应链核心企业为上下游企业提供资金支持,助力企业解决融资及风险等问题。

4、在“提高企业碳中和治理能力”提案中,杨元庆建议,首先应完善绿色低碳法规标准制定;二是鼓励企业制定长期低碳发展战略和碳中和路线图;三是利用大数据信息平台,推动行业企业绿色数据核查与评价;四是加强源头治理,带动供应链低碳绿色发展。

编辑:jq

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 搜狗
    +关注

    关注

    0

    文章

    90

    浏览量

    13844
  • 百度
    +关注

    关注

    9

    文章

    2167

    浏览量

    88918
  • 腾讯
    +关注

    关注

    7

    文章

    1619

    浏览量

    49155
  • 小米
    +关注

    关注

    68

    文章

    14123

    浏览量

    141721

原文标题:聚焦“两会”:科技大佬们的提案关键词盘点

文章出处:【微信号:xmwlwhyxh,微信公众号:厦门市物联网行业协会】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    事关高效节能永磁电机 科力尔等电机大佬两会提出建议

    【哔哥哔特导读】全国两会,科力尔等电机大佬提出重要建议,事关高效节能永磁电机! 电机行业,作为工业经济的根基和国家竞争力的象征,每年在全国两会上都会引发广泛的关注。行业巨头们汇聚一堂,献策献力,旨在
    的头像 发表于 03-12 13:57 162次阅读

    大佬救命

    大佬这个错误为啥啊求教求教求教求教求教求教求教求教求教求教求教
    发表于 01-01 19:00

    测测这10个AI关键词你清楚几个?第4个今年最火

    原文标题:测测这10个AI关键词你清楚几个?第4个今年最火 文章出处:【微信公众号:微软科技】欢迎添加关注!文章转载请注明出处。
    的头像 发表于 12-21 08:15 261次阅读
    测测这10个AI<b class='flag-5'>关键词</b>你清楚几个?第4个今年最火

    #2023,你的 FPGA 年度关键词是什么? # PWM模块更新

    之前的因为一些问题发的code有点问题,这次把更新之后code发了出来,虽然也不是很完善但是初步还是可以用的; 对应的code如下: `timescale 1ns / 1ps modulecreat_PWM ( inputwireclk,//系统时钟为100MHz inputwirerst,//系统复位 inputwirekey_flag1,//占空比上调 inputwirekey_flag2,//占空比下调 inputwirekey_flag3,//频率上调 inputwirekey_flag4,//频率下调 output regPWM ); //PWM波形频率选择 reg [1:0] Frequency_seting; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) Frequency_seting <= 2\'b00; else if( (Frequency_seting == 2\'b11) && (key_flag3==1\'b1) ) Frequency_seting <= 2\'b00; else if( (Frequency_seting == 2\'b00) && (key_flag4==1\'b1) ) Frequency_seting <= 2\'b11; else if( key_flag3==1\'b1 ) Frequency_seting <= Frequency_seting + 1\'b1; else if( key_flag4==1\'b1 ) Frequency_seting <= Frequency_seting - 1\'b1; else Frequency_seting <= Frequency_seting; //PWM波形的频率设定 reg [23:0] Frequency_CNT_MAX; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) Frequency_CNT_MAX <= 24\'d9_999; else case( Frequency_seting ) 2\'b00 : Frequency_CNT_MAX <= 24\'d9_999; 2\'b01 : Frequency_CNT_MAX <= 24\'d99_999; 2\'b10 : Frequency_CNT_MAX <= 24\'d999_999; 2\'b11 : Frequency_CNT_MAX <= 24\'d9_999_999; default : Frequency_CNT_MAX <= 24\'d9_999; endcase //PWM频率生成计数器模块 reg [23:0] counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) counter <= 0; else if( counter == Frequency_CNT_MAX) counter <= 0; else counter <= counter + 1\'b1; //占空比调节模块,步进为10% reg [23:0] duty_counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) duty_counter <= Frequency_CNT_MAX/2; else if( key_flag1 == 1\'b1 ) duty_counter <= duty_counter + (Frequency_CNT_MAX/10); else if( key_flag2 == 1\'b1 ) duty_counter <= duty_counter - (Frequency_CNT_MAX/10); else duty_counter <= duty_counter; //生成PWM always @(posedge clk or negedge rst) if( rst == 1\'b0 ) PWM <= 1\'b0; else if( duty_counter <= counter ) PWM <= 1\'b1; else PWM <= 1\'b0; endmodule 对应的测试用的testbench如下: `timescale 1ns/1ns module tb_creat_PWM(); //****************** Parameter and Internal Signal *******************// //wire define wirePWM; //reg define reg clk; reg rst; reg key_flag1; reg key_flag2; reg key_flag3; reg key_flag4; //***************************** Main Code ****************************// initial begin clk = 1\'b1; rst <= 1\'b0; key_flag1 <= 1\'b0; key_flag2 <= 1\'b0; key_flag3 <= 1\'b0; key_flag4 <= 1\'b0; #201; rst <= 1\'b1; #200; key_flag1 <= 1\'b1; #100; key_flag1 <= 1\'b0; #20000000; key_flag1 <= 1\'b1; #100; key_flag1 <= 1\'b0; #20000000; #20000000; $stop; end // creator clk always #10 clk <= ~clk; //*************************** Instantiation **************************// creat_PWMcreat_PWM_inst ( .clk ( clk), .rst ( rst), .key_flag1 ( key_flag1 ), .key_flag2 ( key_flag2 ), .key_flag3 ( key_flag3 ), .key_flag4 ( key_flag4 ), .PWM ( PWM) ); endmodule 对应的原始code中的参数如果修改一下是可以大幅缩短仿真时间,但是一时没有想起对应的修改模块内部变量的方法,后面找到后再进行补充。 写的还是感觉比较差劲,只能说说慢慢进步吧,自己也是自学不久。
    发表于 12-12 10:47

    #2023,你的 FPGA 年度关键词是什么? # PWM模块基础设计

    由于今天连续多次无法发布该文章,心态真的是崩了,由于基础的PWM比较简单,此次先给大家展示个半成品,完整状态对应的PWM频率、占空比均可调节,对应的模块结构图如下: 对应的基本code如下: modulecreat_PWM ( inputwireclk, //系统时钟为50MHz inputwirerst, inputwirekey_flag1, inputwirekey_flag2, output regPWM ); parameter Frequency_CNT_MAX = 16\'d49_999; //输出PWM为1KHz,1ms=5000*20ns //PWM频率生成计数器模块 reg [15:0] couter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) couter <= 0; else if( couter == Frequency_CNT_MAX ) couter <= 0; else couter <= couter + 1\'b1; //占空比调节模块 reg [15:0] duty_counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) duty_counter <= 16\'d24_999; else if( key_flag1 == 1\'b1 ) duty_counter <= duty_counter + 16\'d49; else if( key_flag2 == 1\'b1 ) duty_counter <= duty_counter - 16\'d49; else duty_counter <= duty_counter; //生成PWM always @(posedge clk or negedge rst) if( rst == 1\'b0 ) PWM <= 1\'b0; else if( duty_counter <= Frequency_CNT_MAX ) PWM <= 1\'b1; else PWM <= 1\'b0; endmodule 由于是第一次在电子发烧友上发文章,体验感觉真的不太友好,希望能够把文章的自动保存功能给加上,否则没有备份真的让人不开心
    发表于 12-06 21:56

    #2023,你的 FPGA 年度关键词是什么? #

    FPGA 年度关键词,我的想法是“标准化”;今年的工作中遇到了不少同事的issues,本身都是小问题或者很细节的东西但是却反复出现问题,目前想到的最好的办法是做好设计规则的标准化才能避免,不知道大家有没有更好的建议?
    发表于 12-06 20:31

    请问有没有大佬帮我看看这个板子的设计组成和电路?

    请问有没有大佬帮我看看这个板子的设计组成和电路什么的,新手小白研究成品没有研究明白
    发表于 10-27 15:19

    AT32上实现关键词语音识别(KWS)

    AT32上实现关键词语音识别(KWS)本文基于此开源模型和代码,在AT32 MCU 上对KWS 效果进行展示。
    发表于 10-26 07:45

    大佬,问一下用FPGA实现卷积码编解码的难度,毕设选这个

    求助!大佬,问一下用FPGA实现卷积码编解码的难度。
    发表于 10-16 23:26

    Java中对static关键词的介绍

    可以说是该关键字最常用的一个功能,通常将用 static 修饰的成员变量称为类成员或者静态成员,那么静态成员和不用 static 修饰的非静态成员有什么区别呢? 我们看看不用 static 修饰的成员变量
    的头像 发表于 10-11 15:26 252次阅读
    Java中对static<b class='flag-5'>关键词</b>的介绍

    关键词分析(2)#Python数据分析

    编程python
    未来加油dz
    发布于 :2023年09月02日 18:29:02

    关键词分析(1)#Python数据分析

    编程python
    未来加油dz
    发布于 :2023年09月02日 18:28:21

    . 基于关键词的内容可视化#大数据

    大数据
    未来加油dz
    发布于 :2023年07月18日 13:49:10

    TinyML变得简单:关键词识别(KWS)

    电子发烧友网站提供《TinyML变得简单:关键词识别(KWS).zip》资料免费下载
    发表于 07-13 10:20 2次下载
    TinyML变得简单:<b class='flag-5'>关键词</b>识别(KWS)

    科技大佬排队来华:不能失去中国市场 马斯克\黄仁勋排队访华

    科技大佬排队来华:不能失去中国市场 马斯克\黄仁勋排队访华 2023年科技大佬排队来华:不能失去中国市场是一个共识,时隔3年马斯克再次来到上海,上一次还是2020年初,马斯克在特斯拉上海超级工厂新车
    的头像 发表于 06-03 16:31 2107次阅读