0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

AIoT生态链重整:跨界融合是关键词

ss 来源:爱集微APP 作者:爱集微APP 2021-01-15 10:04 次阅读

2020年是5G进入商用阶段的攻坚之年,开启了围绕物联网智能设备技术创新和场景创新的新想象空间。2021年,这一波势头将如何延续?如何打造AIoT的杀手级应用?如何破局智能家居应用的“最后一公里”落地难题?

科沃斯集团旗下高端智能生活电器品牌添可2021年的第一个新品是一个会思考的智能料理机“食万”,是硬件厂商对深挖智能应用场景一次新尝试。科沃斯集团董事长、添可品牌创始人钱东奇对此的定义是——一个“升级版的AIoT产品”,因为它不仅是人与物相连、人与人相连、物与人相连,并且是跨界在做。

围绕AIoT的生态竞争成为硬件厂商瞄准的下一个战场,技术、应用和场景的深入创新,催生了智能终端应用的新物种的同时,也给产业链上下游带来变革的力量,重组、跨界、融合将是AIoT生态链未来一年的关键词。

智能硬件厂商的“新铁人三项”

把硬件、新零售和互联网有机地整合在一起,是小米创始人雷军曾提出的“铁人三项”,小米的出现也成为当时产业界的“新物种”,并由此掀起了一系列的产业链变革反应。

而在当下的AIoT的时代大语境下,又会诞生怎样的“新物种”?对此,钱东奇则认为需要修炼“新铁人三项”——一个极致体验的物联网硬件产品、一个闭环的生态和一个基于人工智能算法的千人千面的服务。

“在一片红海里面去创造一片蓝海是添可这个品牌的逻辑。”钱东奇表示,而如何去做,就一定是要跟着时代的节拍走,“今天的大时代是智能化、数字化,是万物互联。在这个意义上,我们就可以基于一款物联网智能厨具产品把厨房这个应用场景变成新蓝海。”

近年来家电市场趋于饱和,寻找新的利润增长点已成为行业共识。而5G商用的加快、物联网的发展,带动了交互界面和智能终端的升级迭代,与此同时用户需求亟待爆发,家电企业来到了智能化转型的临界点。

在家用机器人领域深耕了20年的科沃斯,2018年5月28日在上海证券交易所上市,成为“家庭服务机器人第一股”,算是取得了阶段性成功。一年后,添可品牌创立,主攻小家电。这是钱东奇的第二次创业,而他希望借力新的芯片技术传感器技术,包括人工智能和物联网技术,来实现传统小家电的升级改造,这是这个新品牌的使命。

成立两年来,添可已经从2019年初发布首台“会思考的智能吸尘器飘万”,2020年发布“会思考的智能吹风机摩万”、“会思考的智能洗地机芙万”,到2021年发布“会思考的智能料理机食万”,添可始终秉持着用“新科技、新方法”重新定义品类,并以“智能”为研发创新方向,以独一无二的外观和性能,引领行业未来,开辟新赛道。

钱东奇强调,这种创新能否成功,关键就在于深挖场景形成一个闭环的AIoT生态。以此次推出的“食万”这样一个智能锅为例,作为一款全新的物联烹饪产品,它的美味数字化系统,可以实现对厨艺精准刻录,形成云端数字菜谱,并通过智能调味、智能火候、智能翻炒等智能硬件复现精湛厨艺,还原美味。值得一提的是,食万独创烹饪数据包,是用数字化的方法模仿用户烹饪过程,并将关键步骤记录下来,把最优化的步骤用数字化的形式封存起来,存在云端。用户可以从云端下载烹饪数据包,也可以使用创作模式,创作自己的烹饪数据包并上传,分享给所有的食万用户。

钱东奇强调,这考验的是厂商多个维度的基础能力,即硬件能力、软件能力、互联网的平台的能力,而这同时也离不开产业链上下游的紧密融合。

AIoT生态链重整:跨界融合是关键词

涂鸦智能与Gartner联合发布《2021全球 AIoT开发者生态白皮书》中指出,单品智能是很多企业入局AIoT智能家居的起点,但这造成了设备间的连接问题。相关显示统计,平均每个家庭与电相关的设备数量超过40个,而这40个设备涉及的品牌超过10个。由于它们之间是相互割裂的封闭的系统,兼容性很难实现互联互通。

另外,应用场景的局限性也是目前智能家居存在的难题。智慧家庭中的细分场景是考验智能家居“人性化”的重要指标。只有了解场景和用户需求,挖掘更多的细分应用场景,才能更好地为用户提供服务。

而在AIoT这盘大棋下,想要做到场景和服务多元化,任何一个厂商凭一己之力都难以覆盖全场景。

IEEE会员董琪(目前任职于工控速派(北京)科技服务有限公司)对集微网指出,比如AIoT创新采集的数据一定是要通过算法降低使用者的操作强度或者变现,这种应用才会对使用者和创新者有意义。在这个观点的引导下,家电厂商可以和房产开发商进行一些深度的跨界合作,如设计一些嵌入式的双门冰箱和户型配套,对户外有门,对户内也有门,这样可以让快递员或者楼盘物业直接把生鲜送入业主的冰箱内。类似这样的应用才是有意义的AIOT的应用。“否则单一的家电AIoT应用很难解决最后一公里的使用问题。”

总体来看,目前无论是传统家电等硬件厂商,还是新入局的玩家,在物联网、智能家居概念下的多种探索目前都仍处于初级阶段,杀手级应用更未见其踪。

IDC的跟踪数据显示,2020年三季度中国智能家居设备市场出货量继今年第一季度后再次出现负增长。除了疫情及宏观经济的影响之外,IDC分析指出,主要原因在于上游元器件供应紧张,线上渠道增长承压以及生态服务发展滞后阻碍智能化步伐。尤其是,智能家居市场整体呈现设备先行的发展模式,生态服务的完善相对滞后,尤其是大家电市场智能化、场景化应用仍处于发展初期,缺乏生态加持导致大家电市场智能化速度有所放缓。

IDC中国分析师刘云认为,目前中国智能家居市场正处于行业调整阶段,与以往出货量快速增长不同,市场发展更多体现在技术能力和生态布局的升级。对厂商而言这正是调整布局、积蓄能量的关键时期。针对产品能力、生态构建、渠道拓展这三方面的战略布局将为厂商赢得下一轮市场发展的先机。

因而未来一段时期内,整体市场都将面临各种不确定性,其中上游供应链的变动对整体产业的影响十分关键。强化与上游供应商的密切合作有利于厂商维持稳定的元器件供应,提升风险应对能力。同时与芯片原厂的紧密合作有利于厂商间开展底层合作,加快生态构建步伐。除去后端平台的建设,前端服务应用的开发也是生态建设中必不可少的部分,厂商应更加重视与开发者的合作,提供便捷高效的开发平台以加快符合AIoT需求的服务应用开发,充盈智能家居生态。

责任编辑:xj

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 5G
    5G
    +关注

    关注

    1340

    文章

    47738

    浏览量

    553121
  • AIoT
    +关注

    关注

    8

    文章

    1237

    浏览量

    30025
收藏 人收藏

    评论

    相关推荐

    深开鸿用三个关键词,为你解读《2023 OpenHarmony 年度运营报告》

    的一笔共同奏响中国基础软件的光辉岁月作为OpenHarmony生态的领军企业深开鸿通过三个关键词带你读懂《2023OpenHarmony年度运营报告》01繁荣202
    的头像 发表于 02-02 17:00 149次阅读
    深开鸿用三个<b class='flag-5'>关键词</b>,为你解读《2023 OpenHarmony 年度运营报告》

    威马汽车破产重整案,开庭!

    今年10月,曾经当红一时的造车新势力在几经挣扎后还是走向了末路,威马汽车公开申请破产重整,引发舆论高度关注。对此,威马汽车发布告知函称,法院已受理预重整申请,不会躺平更不会倒下,将积极自救。
    的头像 发表于 12-28 17:14 816次阅读
    威马汽车破产<b class='flag-5'>重整</b>案,开庭!

    测测这10个AI关键词你清楚几个?第4个今年最火

    原文标题:测测这10个AI关键词你清楚几个?第4个今年最火 文章出处:【微信公众号:微软科技】欢迎添加关注!文章转载请注明出处。
    的头像 发表于 12-21 08:15 244次阅读
    测测这10个AI<b class='flag-5'>关键词</b>你清楚几个?第4个今年最火

    #2023,你的 FPGA 年度关键词是什么? # PWM模块更新

    之前的因为一些问题发的code有点问题,这次把更新之后code发了出来,虽然也不是很完善但是初步还是可以用的; 对应的code如下: `timescale 1ns / 1ps modulecreat_PWM ( inputwireclk,//系统时钟为100MHz inputwirerst,//系统复位 inputwirekey_flag1,//占空比上调 inputwirekey_flag2,//占空比下调 inputwirekey_flag3,//频率上调 inputwirekey_flag4,//频率下调 output regPWM ); //PWM波形频率选择 reg [1:0] Frequency_seting; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) Frequency_seting <= 2\'b00; else if( (Frequency_seting == 2\'b11) && (key_flag3==1\'b1) ) Frequency_seting <= 2\'b00; else if( (Frequency_seting == 2\'b00) && (key_flag4==1\'b1) ) Frequency_seting <= 2\'b11; else if( key_flag3==1\'b1 ) Frequency_seting <= Frequency_seting + 1\'b1; else if( key_flag4==1\'b1 ) Frequency_seting <= Frequency_seting - 1\'b1; else Frequency_seting <= Frequency_seting; //PWM波形的频率设定 reg [23:0] Frequency_CNT_MAX; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) Frequency_CNT_MAX <= 24\'d9_999; else case( Frequency_seting ) 2\'b00 : Frequency_CNT_MAX <= 24\'d9_999; 2\'b01 : Frequency_CNT_MAX <= 24\'d99_999; 2\'b10 : Frequency_CNT_MAX <= 24\'d999_999; 2\'b11 : Frequency_CNT_MAX <= 24\'d9_999_999; default : Frequency_CNT_MAX <= 24\'d9_999; endcase //PWM频率生成计数器模块 reg [23:0] counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) counter <= 0; else if( counter == Frequency_CNT_MAX) counter <= 0; else counter <= counter + 1\'b1; //占空比调节模块,步进为10% reg [23:0] duty_counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) duty_counter <= Frequency_CNT_MAX/2; else if( key_flag1 == 1\'b1 ) duty_counter <= duty_counter + (Frequency_CNT_MAX/10); else if( key_flag2 == 1\'b1 ) duty_counter <= duty_counter - (Frequency_CNT_MAX/10); else duty_counter <= duty_counter; //生成PWM always @(posedge clk or negedge rst) if( rst == 1\'b0 ) PWM <= 1\'b0; else if( duty_counter <= counter ) PWM <= 1\'b1; else PWM <= 1\'b0; endmodule 对应的测试用的testbench如下: `timescale 1ns/1ns module tb_creat_PWM(); //****************** Parameter and Internal Signal *******************// //wire define wirePWM; //reg define reg clk; reg rst; reg key_flag1; reg key_flag2; reg key_flag3; reg key_flag4; //***************************** Main Code ****************************// initial begin clk = 1\'b1; rst <= 1\'b0; key_flag1 <= 1\'b0; key_flag2 <= 1\'b0; key_flag3 <= 1\'b0; key_flag4 <= 1\'b0; #201; rst <= 1\'b1; #200; key_flag1 <= 1\'b1; #100; key_flag1 <= 1\'b0; #20000000; key_flag1 <= 1\'b1; #100; key_flag1 <= 1\'b0; #20000000; #20000000; $stop; end // creator clk always #10 clk <= ~clk; //*************************** Instantiation **************************// creat_PWMcreat_PWM_inst ( .clk ( clk), .rst ( rst), .key_flag1 ( key_flag1 ), .key_flag2 ( key_flag2 ), .key_flag3 ( key_flag3 ), .key_flag4 ( key_flag4 ), .PWM ( PWM) ); endmodule 对应的原始code中的参数如果修改一下是可以大幅缩短仿真时间,但是一时没有想起对应的修改模块内部变量的方法,后面找到后再进行补充。 写的还是感觉比较差劲,只能说说慢慢进步吧,自己也是自学不久。
    发表于 12-12 10:47

    #2023,你的 FPGA 年度关键词是什么? # PWM模块基础设计

    由于今天连续多次无法发布该文章,心态真的是崩了,由于基础的PWM比较简单,此次先给大家展示个半成品,完整状态对应的PWM频率、占空比均可调节,对应的模块结构图如下: 对应的基本code如下: modulecreat_PWM ( inputwireclk, //系统时钟为50MHz inputwirerst, inputwirekey_flag1, inputwirekey_flag2, output regPWM ); parameter Frequency_CNT_MAX = 16\'d49_999; //输出PWM为1KHz,1ms=5000*20ns //PWM频率生成计数器模块 reg [15:0] couter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) couter <= 0; else if( couter == Frequency_CNT_MAX ) couter <= 0; else couter <= couter + 1\'b1; //占空比调节模块 reg [15:0] duty_counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) duty_counter <= 16\'d24_999; else if( key_flag1 == 1\'b1 ) duty_counter <= duty_counter + 16\'d49; else if( key_flag2 == 1\'b1 ) duty_counter <= duty_counter - 16\'d49; else duty_counter <= duty_counter; //生成PWM always @(posedge clk or negedge rst) if( rst == 1\'b0 ) PWM <= 1\'b0; else if( duty_counter <= Frequency_CNT_MAX ) PWM <= 1\'b1; else PWM <= 1\'b0; endmodule 由于是第一次在电子发烧友上发文章,体验感觉真的不太友好,希望能够把文章的自动保存功能给加上,否则没有备份真的让人不开心
    发表于 12-06 21:56

    #2023,你的 FPGA 年度关键词是什么? #

    FPGA 年度关键词,我的想法是“标准化”;今年的工作中遇到了不少同事的issues,本身都是小问题或者很细节的东西但是却反复出现问题,目前想到的最好的办法是做好设计规则的标准化才能避免,不知道大家有没有更好的建议?
    发表于 12-06 20:31

    打卡有好礼!FPGA开发者技术社区每日打卡活动来啦!!

    )学习探索FPGA过程中遇到的各种问题; 4)日常工作中的经验技巧分享。 11月打卡关键词: #共建FPGA开发者技术社区,为FPGA生态点赞# 打卡时间11月7日—11月30日 打卡礼品: 1
    发表于 11-06 18:28

    陈海波:OpenHarmony技术领先,产学研深度协同,生态蓬勃发展

    题为《OpenHarmony技术领先筑生态,万物智联赢未来》的主题演讲。他围绕万物智联趋势,分享OpenHarmony四大技术架构特征的关键技术成果与未来演进方向,并发布了多项技术指导委员会近期孵化
    发表于 11-06 14:35

    北京君正X2600处理器亮相ELEXCON 2023,打造多核异构新价值

    伴随下游应用持续丰富,细节需求不断增多,标准化产品已越来越难以满足市场需求,芯片方案提供商需要不断深入行业,根据市场需求推出适配的产品。在这样的背景下,北京君正迅速推出X2600系列多核异构
    发表于 11-03 18:17

    AT32上实现关键词语音识别(KWS)

    AT32上实现关键词语音识别(KWS)本文基于此开源模型和代码,在AT32 MCU 上对KWS 效果进行展示。
    发表于 10-26 07:45

    英码科技精彩亮相火爆的IOTE 2023,多面赋能AIoT产业发展!

    产品,包括覆盖多层次算力的智能工作站(边缘计算盒子)、AI加速卡等;同时向大家展示自研的AI技术服务——“深元”0代码移植工具和创新性的行业解决方案,赋能更多AIoT产业生态企业快速具备AI能力
    发表于 09-25 10:03

    TinyML变得简单:关键词识别(KWS)

    电子发烧友网站提供《TinyML变得简单:关键词识别(KWS).zip》资料免费下载
    发表于 07-13 10:20 2次下载
    TinyML变得简单:<b class='flag-5'>关键词</b>识别(KWS)

    年度创新企业奖!移远通信成推动AIoT融合落地关键力量

    6月8日,由ASPENCORE主办的2023国际AIoT生态发展大会在深圳召开,移远通信受邀出席大会并发表演讲,同时凭借在5G、AIoT等领域的持续创新荣获“年度创新企业”奖! 5G+AIoT
    的头像 发表于 06-09 19:15 251次阅读
    年度创新企业奖!移远通信成推动<b class='flag-5'>AIoT</b><b class='flag-5'>融合</b>落地<b class='flag-5'>关键</b>力量

    AIoT 生态发展大会,Qorvo 诚邀您莅临

    AIoT 产业融合多种技术,赋能各行各业,麦肯锡预测,到 2025 年,全球 AIoT 市场规模将达到 11.2 万亿美元。根据 IDC 最新预测数据,全球物联网(企业级)支出规模有望在 2026
    的头像 发表于 06-08 12:15 229次阅读
    <b class='flag-5'>AIoT</b> <b class='flag-5'>生态</b>发展大会,Qorvo 诚邀您莅临

    全新适配鸿蒙生态,Cocos引擎助力3D应用开发

    一、适配HarmonyOS背景 HarmonyOS 3.1版本自发布以来,备受广大开发者的好评,同时也吸引了鸿蒙生态众多伙伴的青睐。 鸿蒙生态所强调的智慧全场景、多端联动与设备流转等能力
    发表于 04-14 11:37