0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

新思科技与三星开展合作,充分释放三星工艺优势

ss 来源:美通社 作者:美通社 2021-01-13 16:01 次阅读

新思科技三星基于Fusion Design Platform开展合作,充分释放三星在最先进节点工艺的优势

经过认证的流程为开发者提供了一整套针对时序和提取的业界领先数字实现和签核解决方案

新思科技Fusion Design Platform能够实现业界最佳结果质量和最短交付时间,加快高性能计算设计周期

新思科技(Synopsys, Inc., 纳斯达克股票代码:SNPS)近期宣布与三星开展合作,基于新思科技Fusion Design Platform™提供经认证的数字实现、时序和物理签核参考流程,以加速高性能计算(HPC)设计。通过该全新的经认证参考流程,开发者可以利用新思平台的自动化功能和集成优势来提高其工作效率,同时在三星的先进工艺节点上实现其设计目标。

作为新思科技Fusion Design平台的一部分,Design Compiler® NXT、IC Compiler™ II以及Fusion Compiler™等解决方案新增了创新功能,性能得到进一步提升,能够赋能共同客户充分利用三星的先进工艺技术,实现最佳功耗、性能和面积(PPA)指标,同时加快其设计的交付时间。基于StarRC™签核提取与PrimeTime®签核延迟计算引擎在平台中的融合,HPC参考流程可以提供可预测、已收敛的设计闭合,实现零设计余量,并通过三星的先进工艺技术最大限度地提高PPA收益。

三星电子制造设计技术部副总裁Sangyun Kim表示:“我们的共同客户对采用先进工艺的HPC设计认证参考流程的需求越来越大,我们与新思科技开展广泛合作,让HPC数字实现和签核流程能够利用Fusion Design Platform的最新技术,为我们的先进工艺节点提供可预测的高质量流程。”

下一代HPC设计对于时钟目标频率、功耗和利用率的要求极具挑战性,并需要支持最先进的工艺结构。新思科技的Fusion Design Platform为解决这些挑战提供了创新功能,如时钟和数据并发优化、时序签核和基于路径的时序分析、多源时钟树综合、hash 过孔支持、自由形式宏单元布局、以及面向下一代HPC设计的机器学习技术。HPC参考流程提供了完整全面的方法论,包含一整套经过三星和新思科技联合验证的文档化流程和设计示例。

新思科技设计集团系统解决方案和生态系统支持高级副总裁Charles Matar表示: “我们与三星的早期合作有助于我们的共同客户基于三星的最先进工艺节点,充分利用新思的先进技术和解决方案“。。新思科技Fusion Design Platform的先进功能提供了卓越的结果质量和交付时间优势,助力我们的共同客户实现与众不同的高性能计算设计。”

在2020年10月28日举行的三星先进晶圆代工生态系统 (SAFE) 论坛上,新思科技的专家们深入解析了针对三星先进工艺节点而优化的HPC设计参考流程的全新功能。

责任编辑:xj

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 三星电子
    +关注

    关注

    34

    文章

    15600

    浏览量

    180094
  • 计算
    +关注

    关注

    2

    文章

    431

    浏览量

    38471
  • 新思科技
    +关注

    关注

    5

    文章

    715

    浏览量

    50058
收藏 人收藏

    评论

    相关推荐

    三星电子在 EUV 曝光技术取得重大进展

    三星电子行业资讯
    深圳市浮思特科技有限公司
    发布于 :2023年12月05日 17:16:29

    2023年10月21日芯片价格信息差《三星内存条》#采购#华强北#内存#集成电路#三星内存条#

    内存三星
    深圳市石芯电子有限公司
    发布于 :2023年10月21日 11:14:12

    #美国 #三星 美国彻底放弃卡脖子吗?美国同意三星电子向中国工厂提供设备!

    三星电子
    深圳市浮思特科技有限公司
    发布于 :2023年10月11日 13:47:16

    思科技3DIC Compiler获得三星多裸晶芯集成工艺流程的认证

    Compiler是统一的多裸晶芯片封装探索、协同设计和分析的平台,已经获得三星多裸晶芯集成工艺流程的认证。 全面和可扩展的新思科技多裸晶芯片系统能够实现从早期设计探索到芯片生命周期管理全流程的快速异构集成。 新
    的头像 发表于 09-14 09:38 868次阅读

    两大IP扩大IP合作,新思科技携手三星加速新兴领域复杂SoC设计

    思科技接口IP适用于USB、PCI Express、112G以太网、UCIe、LPDDR、DDR、MIPI等广泛使用的协议中,并在三星工艺中实现高性能和低延迟 新思科技基础IP,包括
    的头像 发表于 07-26 17:40 276次阅读

    182.角降压启动 #shorts

    充八万
    发布于 :2023年07月22日 06:06:22

    角降压启动程序怎么编写?

    YS YYDS
    发布于 :2023年07月06日 21:51:20

    思科技与三星扩大IP合作,加速新兴领域先进SoC设计

    面向三星8LPU、SF5 (A)、SF4 (A)和SF3工艺的新思科技接口和基础IP,加速先进SoC设计的成功之路 摘要: 新思科技接口IP适用于USB、PCI Express、112
    发表于 06-30 13:40 355次阅读

    角正反转降压启动控制回路#角正反转

    学习电子知识
    发布于 :2023年06月26日 19:44:42

    手动角降压启动#角形降压启动

    学习电子知识
    发布于 :2023年06月26日 19:38:43

    星形接法接线图#角接线

    学习电子知识
    发布于 :2023年06月26日 19:35:13

    空气延时触头角降压启动#角形降压启动

    学习电子知识
    发布于 :2023年06月26日 19:30:43

    三星电机提供车规级mlcc中的4种主要解决方案_贞光科技代理品牌# mlcc

    三星电机
    贞光科技
    发布于 :2023年05月30日 14:30:31

    深度剖析角变压器的相移

    角变压器,初级侧Y连接,次级侧角形连接,如下图所示。极性标记在每个相位上都标明。绕组上的点表示在未接通的端子上同时为正的端子。    侧的相位标记为A,B,C,
    发表于 04-20 17:39