0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

时序电路之触发器

电子森林 来源:FPGA入门到精通 作者:FPGA入门到精通 2021-01-06 17:07 次阅读

时间的重要性不言而喻,加上时间这个维度就如同X-Y的平面加上了一个Z轴,如同打开了一个新的世界。所以今天我们就要来聊聊时序电路。

在时序电路中,电路任何时刻的稳定状态输出不仅取决于当前的输入,还与前一时刻输入形成的状态有关。是不是有点绕?这样,下次当你和对象吵架时,她把以前各种旧账翻出来一起算的时候,你别急着还嘴,赶紧趁机温习一下时序电路的概念,也许你想着想着就笑了。

总之……时序电路是有记忆功能的,因此可以设计成储存电路用来保存信息。常用的存储电路有两类:一类采用电平触发,我们称为锁存器(Latch);另一类通过边沿信号触发,也就是触发器(Flip-flop)。中文译法经常有一种不明觉厉的感觉有木有。 事实上,触发器的工作原理并不复杂。首先我们来看图1。

bc55a088-4628-11eb-8b86-12bb97331649.png

图1D触发器框图和内部门电路结构

图1所示的是一个D类触发器的框图和内部门电路结构。框图中输入端的三角形代表着时钟信号边沿触发方式。同学们可以通过门电路结构研究D类触发器的工作原理,在这里我们直接给出它的状态特性表:

CLK D Q Q’
X X X Q
X 0 0 0
0 1 1
1 0 0
1 1 1

其中,向上的箭头表示时钟信号从低升至高电平时触发有效;反之,从高电平降至低电平的边沿触发方式则由向下的箭头表示。现在我们给出D类触发器的Verilog代码:

module dff2 ( input clk,d, output reg q, output wire qbar ); assignqbar=~q;always@(posedgeclk)//只有clk上升沿时刻触发q<= d;        // 只有当触发生效时,才将d的值赋予给q endmodule  上述代码的意思差不多等效于:你不起床就别想让我起床。就算你起床了,如果没把早餐做好,我还是不起床。   现在,我们已经有了代码,如何在小脚丫上进行实验呢?其他的好说,问题是我们要处理一下时钟信号的问题,也就是代码中的clk变量。

通常,输入变量clk直接会被指定到小脚丫的板载时钟信号上。不过,小脚丫的固定时钟信号频率为12兆赫兹,比人眼能分辨出的频率快近几十万倍,所以我们根本不可能观察到任何变化。 在我们学习时钟分频之前,观察本次实验的最好办法,就是通过手动时钟信号。因此,我们将变量分配至小脚丫的以下管脚:

变量 小脚丫元件 FPGA管脚
clk SW1 J12
d SW4 H13
q L1 N15
qbar L2 N14

理论上说,将上述程序及管脚分配导入至小脚丫后,可以通过调节开关SW1和SW4来观察q和qbar的状态(L1和L2)。 我知道很多人手里没有小脚丫,并且也懒得去www.stepfpga.com上购买,所以我就抛一个问题让大家隔空思考一下(才知道微信把公众号留言功能给关闭了):假如说,这个程序在仿真上的结果是正确的,但是导入小脚丫之后就不正常工作了,你觉得会是什么原因?

责任编辑:xj

原文标题:基于FPGA的数字电路实验5:时序电路之触发器

文章出处:【微信公众号:FPGA入门到精通】欢迎添加关注!文章转载请注明出处。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1599

    文章

    21271

    浏览量

    592791
  • 时序电路
    +关注

    关注

    1

    文章

    113

    浏览量

    21586
  • 数字电路
    +关注

    关注

    192

    文章

    1379

    浏览量

    79717

原文标题:基于FPGA的数字电路实验5:时序电路之触发器

文章出处:【微信号:xiaojiaoyafpga,微信公众号:电子森林】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    时序电路基本原理是什么 时序电路由什么组成

    时序电路基本原理是指电路中的输出信号与输入信号的时间相关性。简单来说,就是电路的输出信号要依赖于其输入信号的顺序和时间间隔。 时序电路由时钟信号、
    的头像 发表于 02-06 11:30 507次阅读

    时序电路的分类 时序电路的基本单元电路有哪些

    时序电路可以分为同步时序电路和异步时序电路。接下来,我们将详细讨论时序电路的分类以及其基本单元电路。 一、同步
    的头像 发表于 02-06 11:25 581次阅读

    时序电路包括两种类型 时序电路必然存在状态循环对不对

    时序电路是由触发器时序元件组成的数字电路,用于处理时序信号,实现时序逻辑功能。根据
    的头像 发表于 02-06 11:22 387次阅读

    rs和sr触发器的工作原理 为什么rs触发器可以消除机械抖动

    RS触发器与SR触发器都是基本的数字逻辑电路元件,常用于存储、控制和时序电路中。
    的头像 发表于 01-29 14:15 860次阅读

    jk触发器的特征方程怎么得到

    jk触发器是一种常见的时序电路元件,常用于计数器、寄存器以及存储器等电子电路中。本文将介绍jk触发器的特征方程以及推导过程。 jk触发器的基
    的头像 发表于 01-17 10:00 521次阅读

    典型触发器电路图分享

    触发器是一种特殊的电路元件或信号,它可以根据预先设定的条件或事件来产生相应的输出信号或动作。触发器是数字电路中的基本元件,用于控制信号的时序
    的头像 发表于 01-15 16:33 949次阅读
    典型<b class='flag-5'>触发器</b><b class='flag-5'>电路</b>图分享

    施密特触发器有几个稳定状态

    施密特触发器是一种常见的数字电路元件,主要用于时序电路和数字逻辑电路中。它是由两个双稳态门电路(或称为非门
    的头像 发表于 01-12 16:50 475次阅读

    rs触发器功能什么方面才用到

    RS触发器是一种经典的数字逻辑电路元件,用于存储和控制信息流。它是由两个反馈作用的逻辑门组成,常用于时序电路和数据存储。 RS触发器由两个互补的输出Q和~Q组成,其中Q表示
    的头像 发表于 11-17 16:14 1156次阅读

    D触发器与Latch锁存器电路设计

    D触发器,是时序逻辑电路中必备的一个基本单元,学好 D 触发器,是学好时序逻辑电路的前提条件,其
    的头像 发表于 10-09 17:26 1434次阅读
    D<b class='flag-5'>触发器</b>与Latch锁存器<b class='flag-5'>电路</b>设计

    用D触发器设计一个序列发生器 怎么用D触发器做序列信号发生器?

    ,在很多应用场景中都可以用来构建序列发生器。本文将介绍使用D触发器设计序列发生器的方法和步骤。 首先,我们需要了解D触发器的基本原理和性质。D触发器是一种时序电路,它可以存储和延迟一个
    的头像 发表于 08-24 15:50 2856次阅读

    触发器 时序电路基本单元,实验+理论讲解!

    电路触发器
    学习电子知识
    发布于 :2023年07月26日 21:17:36

    RS触发器 时序电路基本单元,实验+理论讲解,一次搞懂!

    电路触发器
    学习电子知识
    发布于 :2023年07月26日 21:11:01

    基于FPGA的数字电路实验:时序电路触发器

    时间的重要性不言而喻,加上时间这个维度就如同X-Y的平面加上了一个Z轴,如同打开了一个新的世界。所以今天我们就要来聊聊时序电路
    发表于 06-20 16:59 263次阅读
    基于FPGA的数字<b class='flag-5'>电路</b>实验:<b class='flag-5'>时序电路</b>之<b class='flag-5'>触发器</b>

    时序逻辑电路设计之D触发器

    本文旨在总结近期复习的数字电路D触发器(边沿触发)的内容。
    的头像 发表于 05-22 16:54 9664次阅读
    <b class='flag-5'>时序</b>逻辑<b class='flag-5'>电路</b>设计之D<b class='flag-5'>触发器</b>

    时序电路之不同触发器对比分析

    按结构和功能,触发器可以分为RS型、JK型、D型和T型,在这里,我们只讲解比较有代表性的类型,RS型和D型。
    发表于 05-22 09:58 761次阅读
    <b class='flag-5'>时序电路</b>之不同<b class='flag-5'>触发器</b>对比分析