0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

时序逻辑电路:时钟分频

电子森林 来源:FPGA入门到精通 作者:FPGA入门到精通 2021-01-06 17:02 次阅读

单片机一样,FPGA开发板上也都会配有晶振用来生成板载时钟。前一篇我们提到了小脚丫的固定板载时钟频率为12MHz,这个频率实际上就是作为我们的时间参考基准。正如歌里唱的那样:

嘀嗒嘀嗒嘀嗒嘀嗒

时针它不停在转动

因此,小脚丫只要在通电之后,它的内部时钟就会每隔83.8ns滴答一次。这个时间真的很快,连光速还没来得及跑出小区大门就被掐断了。那么问题来了:如果在某些应用场合中,我们不需要这么快的嘀嗒该怎么办?比如,我们想让小脚丫上的LED灯以可观察的频率闪烁,如1Hz,也就是1秒闪一下。

相信大家和我的想法一样,就一个字:等。既然一秒钟可以嘀嗒一千两百万次,那我们每次点亮LED之前就先等你跳一千两百万次好了,毕竟也不耗油。换句话说,就是把内部时钟频率放慢12,000,000倍。这个操作就叫做时钟分频,也就是我们今天要掌握的内容。

先说偶数分频,也就是说将内部时钟放慢的除数为偶数。在这里,我们只考虑占空比为50%的波形(高电平和低电平对半分)。图1中,我们设定内部时钟为我们的输入频率,也就是12MHz,那么如果想获得一个6MHz的输出频率,只需要等第二次上沿信号即可,因此分频除数为2。

b1519624-4628-11eb-8b86-12bb97331649.png

图1

如果想得到更低的输出频率,比如1MHz,则除数调整12;如果1KHz,除数调成12000,依次类推。注意,这种方法只对除数为偶数的情况下才管用!以下是生成1Hz输出的代码,于是我们将除数调成了12,000,000。

moduleclkdivider(clock_in,clock_out);inputclock_in;outputregclock_out;reg[23:0]counter=24'd0;parameter DIVISOR = 24'd12000000;
always@(posedgeclock_in)begincounter<= counter + 24'd1;if(counter>=(DIVISOR-1))counter<= 24'clock_out<= (counter2)?1'b1:1'b0;//条件赋值endendmodule

在代码中我们注意到了这一行代码:

reg[23:0] counter=24’0

这个实际上就是用于存储小脚丫固定时钟频率的一个数据格式,至于为什么是24位宽直接参考图2就可以。打开你们电脑里的计算器,调成码农模式即可。

b1767cbe-4628-11eb-8b86-12bb97331649.png

图2

再说奇数分频。比如说我们想获得一个4MHz的频率,按道理说我们把分频除数调成3即可。而实际上奇数分频的故事还是稍微多一点。我们看一下图3就明白了。

b1ca5460-4628-11eb-8b86-12bb97331649.png

图3

不难发现,当除数为奇数时,此刻对应的时间为内部时钟的下沿,如果仅靠上沿触发的话,此时输出是不会改变的。所以奇数分频需要经历上沿触发和下沿触发才能完成。还好,在Verilog里,我们先不用研究边沿触发的构造原理,只需要通过行为级描述即可直接完成指令:

always@(posedgeclk)//上沿触发always @(negedge clk)    //下沿触发

现在我们来看一个分频倍数为3的例子。图3中,不论输出信号是高电平还是低电平,都只涵盖了两个边沿信号,也就是说,不论是上沿还是下沿时钟,我们只需要分别等待2次触发后进行赋值即可。

moduleclk_div3(clk,clk_out);inputclk;outputclk_out;reg[1:0]pos_count,neg_count;wire [1:0] r_nxt;
always@(posedgeclk)//处理上沿时钟触发部分if(pos_count==2)//等待输入时钟上沿触发2次    pos_count<=0;  else    pos_count <= pos_count +1;
always@(negedgeclk)//处理下沿时钟触发部分if(neg_count==2) //等待输入时钟下沿触发2次    neg_count<=0;  else    neg_count<=neg_count+1;
assignclk_out=((pos_count==2)|(neg_count==2));//每等待2次触发后进行赋值endmodule

了解了3倍分频之后,如何实现通用的奇数分频自然也就不在话下了,这一部分就交给愿意动手尝试的朋友们去自行练习了。

最后,我们的任务是,让小脚丫上的L1-L4这四个灯以2Hz的频率闪烁,另外四个灯L5-L8分别以1Hz的频率闪烁,看看能否实现呢?

责任编辑:xj

原文标题:基于FPGA的数字电路实验6:时序逻辑电路之时钟分频

文章出处:【微信公众号:FPGA入门到精通】欢迎添加关注!文章转载请注明出处。


声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1600

    文章

    21281

    浏览量

    592866
  • 电路
    +关注

    关注

    170

    文章

    5478

    浏览量

    169396
  • 数字
    +关注

    关注

    1

    文章

    1689

    浏览量

    51074

原文标题:基于FPGA的数字电路实验6:时序逻辑电路之时钟分频

文章出处:【微信号:xiaojiaoyafpga,微信公众号:电子森林】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    什么是组合逻辑电路时序逻辑电路?它们之间的区别是什么

    决定。它们没有储存器或时钟元件,因此输出仅取决于当前输入的状态。组合逻辑电路不存储任何信息,也没有内部状态。典型的组合逻辑电路包括门电路、多路选择器、译码器和编码器等。
    的头像 发表于 03-26 16:12 292次阅读

    寄存器属于时序逻辑电路吗 寄存器是什么逻辑电路

    成部分之一。 寄存器属于时序逻辑电路时序逻辑电路是指其输出状态不仅依赖于当前的输入,还依赖于过去的输入和时钟信号的变化。在寄存器中,
    的头像 发表于 02-18 09:37 432次阅读

    时序逻辑电路有哪些 时序逻辑电路和组合逻辑电路区别

    时序逻辑电路是一种能够存储信息并根据时钟信号按照特定顺序执行操作的电路。它是计算机硬件中非常重要的一部分,用于实现存储器、时序控制器等功能。
    的头像 发表于 02-06 11:18 911次阅读

    常用的组合逻辑电路

    组合逻辑电路时序逻辑电路是数字电路中两种重要的逻辑电路类型,它们主要区别在于其输出信号的依赖关系和对时间的敏感性。
    的头像 发表于 02-04 16:00 971次阅读

    电工电子技术基础第11章 时序逻辑电路

    电子发烧友网站提供《电工电子技术基础第11章 时序逻辑电路.ppt》资料免费下载
    发表于 11-21 14:50 1次下载
    电工电子技术基础第11章 <b class='flag-5'>时序</b><b class='flag-5'>逻辑电路</b>

    时序逻辑电路电子课件

    电子发烧友网站提供《时序逻辑电路电子课件.ppt》资料免费下载
    发表于 11-21 14:43 0次下载
    <b class='flag-5'>时序</b><b class='flag-5'>逻辑电路</b>电子课件

    FPGA学习-时序逻辑电路

    时序逻辑电路 一 : 触发器 1:D 触发器 : 时序逻辑电路最小单元 。 (1):D 触发器工作原理 忽略清零端情况下 : 当使能条件 ( 往往为
    的头像 发表于 11-02 12:00 364次阅读
    FPGA学习-<b class='flag-5'>时序</b><b class='flag-5'>逻辑电路</b>

    如何使用Verilog硬件描述语言描述时序逻辑电路

    时序逻辑电路的特点是输出信号不仅与电路的输入有关,还与电路原来的状态有关。
    的头像 发表于 09-17 16:22 1422次阅读
    如何使用Verilog硬件描述语言描述<b class='flag-5'>时序</b><b class='flag-5'>逻辑电路</b>?

    时序逻辑电路的相关概念和分析方法

    时序逻辑电路分为同步时序逻辑电路和异步时序逻辑电路两大类。
    的头像 发表于 06-21 14:35 2902次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>逻辑电路</b>的相关概念和分析方法

    时序逻辑电路的分析方法

      时序逻辑电路分析和设计的基础是组合逻辑电路与触发器,所以想要分析和设计,前提就是必须熟练掌握各种常见的组合逻辑电路与触发器功能,尤其是各种触发器的特征方程与触发模式,因此前几文的基
    的头像 发表于 05-22 18:24 2109次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>逻辑电路</b>的分析方法

    时序逻辑电路设计之同步计数器

    时序电路的考察主要涉及分析与设计两个部分,上文介绍了时序逻辑电路的一些分析方法,重点介绍了同步时序电路分析的步骤与注意事项。 本文就时序
    的头像 发表于 05-22 17:01 1980次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>逻辑电路</b>设计之同步计数器

    FPGA时序逻辑电路寄存器讲解

    时序逻辑电路会复杂很多,强烈推荐mooc上华中科技大学的数字电路逻辑设计,是我看过讲得最清楚的数电课。
    的头像 发表于 05-14 15:11 1748次阅读
    FPGA<b class='flag-5'>时序</b><b class='flag-5'>逻辑电路</b>寄存器讲解

    时序逻辑电路自启动功能怎么判断呢?

    时序逻辑电路自启动功能怎么判断呢?求大神解答
    发表于 05-10 14:46

    设计时序逻辑电路时,如何解决电路不能自启动的问题?

    设计时序逻辑电路时,如何解决电路不能自启动的问题? 是如何解决电路不能自启动,不是‘不能自启动’的定义
    发表于 05-10 14:44

    为什么FPGA可以用来实现组合逻辑电路时序逻辑电路呢?

    为什么FPGA可以用来实现组合逻辑电路时序逻辑电路呢?
    发表于 04-23 11:53