0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

ASML新动态:ASML已完成1nm芯片EUV光刻机设计

中国半导体论坛 来源:中国半导体论坛 作者:中国半导体论坛 2020-12-04 17:46 次阅读

ASML已完成1nm芯片EUV光刻机设计,并加强与台积电和三星的合作

ASML对中国大陆市场出口持开放态度,EUV等出口许可证

半导体光刻与刻蚀论坛2020将于2020年12月30-31日上海召开,光刻产业链国际动态与国产化发展机遇将是重要内容。

——ASML已完成1nm芯片EUV光刻机设计

2020年11月中旬,日本东京举行了 ITF(IMEC Technology Forum,. ITF)论坛。在论坛上,与荷兰商半导体大厂艾司摩尔(ASML) 合作研发半导体光刻机的比利时半导体研究所(IMEC)正式公布了 3 纳米及以下工艺的在微缩层面的相关技术细节。

根据其所公布的内容来看,ASML 对于 3 纳米、2 纳米、1.5 纳米、1 纳米,甚至是小于 1 纳米的工艺都做了清楚的发展规划,代表着 ASML 基本上已经能开发 1 纳米工艺的光刻设备。

在论坛中,IMEC 公司总裁兼首席技术官 Luc Van den hove 在主题演说中先介绍了公司研究概况,强调透过与 ASML 的紧密合作,以及将下一代高辨识率极紫外光 (EUV) 光刻技术进行了商业化。IMEC公司强调,将继续把工艺规模缩小到1nm及以下。包括日本在内的许多半导体公司相继退出了工艺小型化,声称摩尔定律已经走到了尽头,或者说成本太高,无利可图。

根据台积电和三星电子介绍,从 7 纳米工艺技术开始,部分工艺技术已经推出了 NA=0.33 的 EUV 光刻设备,5 纳米工艺技术也达成了频率的提升,但对于 2 纳米以后的超精细工艺技术,则还是需要能够达成更高的辨识率和更高 NA (NA=0.55) 的光刻设备。

据IMEC介绍,ASML已经完成了作为NXE:5000系列的高NA EUV光刻系统的基本设计,但商业化计划在2022年左右。这套下一代系统将因其巨大的光学系统而变得非常高大,很有可能顶在传统洁净室的天花板下。

ASML目前在售的两款极紫外光刻机分别是TWINSCAN NXE:3400B和TWINSCAN NXE:3400C,3600D计划明年年中出货,生产效率将提升18%。

ASML过去一直与IMEC紧密合作开发光刻技术,但为了开发使用高NA EUV光刻工具的光刻工艺,在IMEC的园区里成立了新的“IMEC-ASML高NA EUV实验室”,以促进共同开发和开发使用高NA EUV光刻工具的光刻工艺。该公司还计划与材料供应商合作开发掩模和抗蚀剂。

Van den hove最后表示:“逻辑器件工艺小型化的目的是降低功耗、提高性能、减少面积、降低成本,也就是通常所说的PPAC。除了这四个目标外,随着小型化向3nm、2nm、1.5nm,甚至超越1nm,达到亚1nm,我们将努力实现环境友好、适合可持续发展社会的微处理器。”他表示,将继续致力于工艺小型化,表现出了极大的热情。

——台积电大规模购买EUV光刻机,保持业界领先地位

近期媒体报道,台积电表示其部署的极紫外光(EUV)光刻工具已占全球安装和运行总量的50%左右,这意味着其使用的EUV机器数量超过了业内其他任何一家公司。为了保持领先,台积电已经下单订购了至少13台ASML的Twinscan NXE EUV光刻机,将会在2021年全年交付,不过具体的交付和安装时间表尚不清楚。同时,明年台积电实际需求的数量可能是高达16到17台EUV光刻机。

目前,台积电使用ASML的Twinscan NXE EUV光刻机在其N7+以及N5节点上制造芯片,但在未来几个季度,该公司将增加N6(实际上将在2020年第四季度或2021年第一季度进入HVM)以及同样具有EUV层的N5P工艺。台积电对EUV工具的需求正在增加是因为其技术越来越复杂,更多地方需要使用极紫外光刻工具处理。台积电的N7+使用EUV来处理最多4层,以减少制造高度复杂的电路时多图案技术的使用。

根据ASML的官方数据,2018年至2019年,每月产能约4.5万片晶圆(WSPM),一个EUV层需要一台Twinscan NXE光刻机。随着工具生产效率的提高,WSPM的数量也在增长。如果要为一个准备使用N3或更先进节点制造工艺的GigaFab(产能高于每月10万片)配备设备,台积电在该晶圆厂至少需要40台EUV光刻设备。

ASML最新推出的Twinscan NXE:3400B和NXE:3400C光刻系统价格相当昂贵。早在10月份,ASML就透露,其订单中的4套EUV系统价值5.95亿欧元(约合7.03亿美元),因此单台设备的成本可能高达1.4875亿欧元(1.7575亿美元)。也就是说,13套EUV设备可能要花费台积电高达22.84亿美元。

但在EUV工具方面,钱并不是唯一的考虑因素。ASML是唯一生产和安装EUV光刻机的公司,它的生产和安装能力相对有限。在对其生产工艺进行调整后,该公司认为可以将单台机器的周期缩减到20周,这样一来,每年的产能将达到45到50套系统。

2020年前三季度,ASML已经出货了23台EUV光刻机,预计全年销售量比2020年原计划的35台少一点。截至目前,ASML已累计出货83台商用EUV光刻机(其中包括2015年第一季度至2020年第三季度销售的NXE:3350B、NXE:3400B和NXE:3400C)。如果台积电官方关于拥有全球已安装和运行Twinscan NXE光刻机中约50%这个说法是正确的,那么目前可能已经拥有30至40台EUV光刻机。

台积电不是唯一采购大量EUV光刻机的半导体制造商。三星目前只使用EUV工艺来生产其7LPP和5LPE SoC以及一些DRAM,但随着三星晶圆厂扩大EUVL工艺在生产上的应用,三星半导体也提高了基于EUV工艺的DRAM的生产,最终将不可避免地采购更多的Twinscan NXE光刻机。预计英特尔也将在2022年开始使用其7nm节点生产芯片时,将开始部署EUVL设备,很可能在未来几年成为EUVL设备的主要采用者之一。

未来几年全球对EUV光刻机的需求只会增加,但从目前的情况来看,在未来一段时间内,台积电仍将是这些光刻设备的主要采购者,三星和英特尔将紧随其后。

——三星加强与ASML的技术和投资合作

近日,包括CEO Peter Burnink在内的ASML高管于访问了三星的半导体工厂,讨论了在EUV光刻机供应和开发方面的合作。

ASML高管与三星副董事长金基南进行了会谈。业内人士认为,三星在此次会谈中要求ASML供应更多的EUV光刻机,并讨论了双方在开发下一代EUV光刻机方面的合作。

据悉,三星需要更多的EUV光刻机来扩大其在全球晶圆制造市场的份额。然而,作为世界上唯一的EUV光刻机制造商,ASML向台积电提供的设备要多于三星。因此三星希望与ASML建立技术联盟,以确保下一代EUV光刻机的供应。对于ASML来说,与三星的投资合作是必要的,因为开发下一代EUV光刻机需要大量投资。

该报道指出,三星希望投资开发高数值孔径的EUV光刻机,以提高半导体微制造所需的电路分辨率。该设备的价格预计为每台5000亿韩元,比目前的EUV设备高出2到3倍。ASML计划在2023年年中推出该设备的原型,三星则希望优先获得ASML的供应,以在技术上领先台积电。

不过,三星一位官员表示,会议并没有做出具体的投资决定。他说,ASML高管到访三星是为了回应李在镕10月份访问ASML总部。另有消息称,ASML的高管此次还会面了SK海力士总裁李石熙,双方讨论了扩大UV设备供应和促进合作的途径。

——对中国大陆出口持开放态度,EUV等出口许可证

2020年11月上旬, ASML全球副总裁、中国区总裁沈波透露,2020年二、三季度,该公司发往中国大陆地区的光刻机台数超过了全球总台数的20%。然而在2020年的进博会上,ASML仅展示了DUV光刻机,并没有展示新的EUV光刻机。

对此,沈波表示,EUV光刻机目前还在等荷兰政府的出口许可证。该公司须在遵守法律法规的前提下进行光刻机出口。沈波强调,该公司对向中国出口光刻机保持很开放态度。自30年前进入中国市场以来,该公司在中国总计为客户提供了700多台装机。

半导体光刻与刻蚀论坛2020将于2020年12月30-31日上海召开,光刻产业链国际动态与国产化发展机遇将是重要内容。

【半导体光刻与刻蚀材料、设备与技术论坛2020】

在政策和市场需求的双重驱动下,半导体光刻和刻蚀产业链将加速国产化进程。参与企业将面临空前的发展机遇。然而材料、技术与设备的竞争力提升,也将面临挑战。半导体光刻与刻蚀材料、设备与技术论坛2020将于12月30-31日在上海召开。会议由亚化咨询主办,多家国内外企业重点支持和参与,将对半导体产业核心工艺——光刻和刻蚀产业链的重点议题展开深入探讨。

责任编辑:lq

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 半导体
    +关注

    关注

    327

    文章

    24488

    浏览量

    202030
  • 光刻机
    +关注

    关注

    31

    文章

    1121

    浏览量

    46371
  • ASML
    +关注

    关注

    7

    文章

    672

    浏览量

    40717

原文标题:ASML新动态: 1nm光刻机、台积电、三星与中国市场

文章出处:【微信号:CSF211ic,微信公众号:中国半导体论坛】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    ASML 首台新款 EUV 光刻机 Twinscan NXE:3800E 完成安装

    3 月 13 日消息,光刻机制造商 ASML 宣布其首台新款 EUV 光刻机 Twinscan NXE:3800E 已完成安装,新机型将带来
    的头像 发表于 03-14 08:42 128次阅读
    <b class='flag-5'>ASML</b> 首台新款 <b class='flag-5'>EUV</b> <b class='flag-5'>光刻机</b> Twinscan NXE:3800E <b class='flag-5'>完成</b>安装

    光刻机巨头ASML要搬离荷兰?

    据荷兰《电讯报》3月6日报道,因荷兰政府的反移民政策倾向,光刻机巨头阿斯麦(ASML)正计划搬离荷兰。
    的头像 发表于 03-08 14:02 525次阅读

    三星清空ASML股份,11年盈利超16倍

    根据资料显示,在2012年,为了支持ASML EUV光刻机的研发与商用,并获得EUV光刻机的优先供应,在2012年,英特尔、台积电、三星均斥
    的头像 发表于 02-23 17:27 602次阅读

    ASML 2023年Q4 财报发布,光刻机订单大增

    来源:AIot工业检测,谢谢 编辑:感知芯视界 Link 随着芯片需求的不断增长,芯片制造商正加大采购晶圆厂设备的力度,以提高产能。作为EUV光刻机制造商,
    的头像 发表于 01-26 09:20 450次阅读

    今日看点丨华为强烈反对,东方材料宣布终止收购鼎桥;传ASML将推出2nm制造设备 英特尔已采购6台

    其中6台。新一代的高数值孔径 (High-NA) EUV光刻机可以将聚光能力从0.33提高至0.55,能够获得更精细的曝光图案,用于2nm制程节点。未来几年,ASML希望将这种最新设备
    发表于 12-20 11:23 736次阅读

    ASML达成历史性协议,三星将在2nm芯片制造取得优势

    现时,ASML是全球唯一的EUV光刻机制造商,这台设备主要应用于生产7nm及以下制程芯片。目前,ASML
    的头像 发表于 12-18 14:31 224次阅读

    三星希望进口更多ASML EUV***,5年内新增50台

    EUV曝光是先进制程芯片制造中最重要的部分,占据总时间、总成本的一半以上。由于这种光刻机极为复杂,因此ASML每年只能制造约60台,而全球5家芯片
    的头像 发表于 11-22 16:46 413次阅读

    郭明錤:苹果高通3nm需求低于预期,ASML EUV订单恐下调30%

    郭明錤表示,最新调查指出,ASML可能显著下调2024年EUV光刻机出货量20%~30%,原因在于苹果与高通的3nm芯片需求低于预期。目前M
    的头像 发表于 09-28 10:57 517次阅读

    ASML:没向中国推出特别版***

    特别版的光刻机。 荷兰政府的限制先进半导体设备出口新规将于9月1日生效。这一事件引发了市场猜测ASML可能会发布其TWINSCAN NXT:1980系列DUV光刻工具的调整版,以减轻对中国芯片
    的头像 发表于 07-07 12:32 1135次阅读

    ASML将向中国推出“特供版”DUV***??

    光刻机是半导体产业的重要设备之一。网传荷兰ASML(阿斯麦)试图规避荷兰新销售许可禁令,向中国推出特别版DUV光刻机,但ASML据报否认这一行动,并指一直都遵守所适用的法律条例。
    的头像 发表于 07-07 11:50 1385次阅读
    <b class='flag-5'>ASML</b>将向中国推出“特供版”DUV***??

    荷兰实施半导体出口管制 ASML***DUV系统需要许可证

    荷兰实施半导体出口管制 ASML光刻机DUV系统需要许可证 芯片战愈演愈烈。荷兰正式实施半导体出口管制条款,这将对光刻机巨头阿斯麦(ASML
    的头像 发表于 07-01 17:38 698次阅读
    荷兰实施半导体出口管制 <b class='flag-5'>ASML</b>***DUV系统需要许可证

    日本与荷兰签署半导体合作备忘录:采购 ASML ***,加强技术合作

    报道称,ASML 量产尖端半导体工艺所需的 EUV 光刻机。Rapidus 计划利用经产省提供的补贴,采购 EUV 光刻设备。IT之家注意到
    的头像 发表于 06-27 16:08 522次阅读
    日本与荷兰签署半导体合作备忘录:采购 <b class='flag-5'>ASML</b> ***,加强技术合作

    ASML无视美国禁令出货400台***

    因此光刻机芯片制造非常重要,它是影响芯片制造质量和效率的重要因素。纵观全球光刻机市场,荷兰ASML公司一家独大,占据全球80%的
    的头像 发表于 06-20 11:42 1304次阅读

    【热点】2023年了,我国***发展怎么样了?

    。因此,如果芯片厂商想要生产10nm以下的芯片,必须得有ASML供应的EUV光刻机及相应的支持服
    的头像 发表于 06-08 14:55 2w次阅读
    【热点】2023年了,我国***发展怎么样了?

    ASMLEUV***研发历程

    asmleuv技术开发的领先者。asml公司是半导体领域光刻机生产企业的领头羊,也是全球市场占有率最大的光刻机生产企业。2012年,
    的头像 发表于 06-08 09:37 3291次阅读