0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Vivado每个子步骤在综合之后要分析什么呢?

FPGA技术驿站 来源:Lauren的FPGA 作者:Lauren的FPGA 2020-12-05 09:47 次阅读

Vivado提出了UFDM(UltraFast Design Methodology)设计方法学,其核心思想是尽可能在设计初期发现潜在问题并解决。毕竟,越是在后期发现问题,越难发现关键问题,解决起来越费力,甚至会出现牵一发而动全身的被动局面。这是因为在Implementation阶段,Vivado在每个子步骤或多或少都会做一些优化,这些优化可能会掩盖一些问题。那么就时序收敛而言,在综合之后要分析什么呢?

首先,很明确的是在综合之后就要着手对设计进行分析,需要分析逻辑级数、资源利用率、时钟拓扑结构、时钟资源利用率和控制集,此外,还要分析BRAM/URAM/DSP的使用是不是最优的(例如,是否使用输出寄存器或者级联寄存器等),这些要素都会影响设计时序。这里重点要说的是Vivado提供的一个很好的命令report_qor_assessment。该命令可对设计进行整体的评估,并给出一个分数,以表征时序收敛问题的严重程度。Xilinx建议最好在综合后就开始执行此命令。具体分数及其含义如下表所示(表格来源:Table 5, ug949)。

这个命令使用方法很简单,只要打开综合后的设计,在Vivado Tcl Console中输入report_qor_assessment回车即可。生成的报告第一部分如下图所示,可以看到第一行就是评分结果。如果这个分数是1/2/3,那么基本上可以不用执行后续流程,时序很难收敛,此时要把精力放在综合阶段所发现的问题上。

这个命令的第二部分是关键部分,如下图所示。一方面给出了资源利用率,重要的是给出了资源利用率的真实值和指导值,一旦超过指导值,Status一栏会显示为REVIEW。超过指导值不是不可以接受,而是会很大程度上给时序收敛带来麻烦。另一方面,该报告也会分析逻辑级数、控制集、拥塞和扇出等。凡是Status标记为REVIEW的,需要格外关注,可接着用相应的命令进一步分析。例如,这里发现unbalanced clock,那么可以用report_clock_networks再进一步分析。

由此可见,分析的过程是先运行report_qor_assessment,再从中发现Status为REVIEW的条目,接着用相应的命令进一步分析。这会提高分析的效率,做到有的放矢。

责任编辑:PSY

原文标题:Vivado综合后要分析什么

文章出处:【微信公众号:Lauren的FPGA】欢迎添加关注!文章转载请注明出处。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 可编程逻辑
    +关注

    关注

    7

    文章

    511

    浏览量

    43903
  • Vivado
    +关注

    关注

    18

    文章

    789

    浏览量

    65092

原文标题:Vivado综合后要分析什么

文章出处:【微信号:Lauren_FPGA,微信公众号:FPGA技术驿站】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    Vivado 高层次综合

    感谢你对Vivado HLS也就是XILINX’s 高层次综合解决方案有兴趣,这个解决方案综合c,c++和系统c代码成Verilog和VHDL RTL结构。
    发表于 04-25 08:59 2624次阅读

    探索Vivado HLS设计流,Vivado HLS高层次综合设计

    作者:Mculover666 1.实验目的 通过例程探索Vivado HLS设计流 用图形用户界面和TCL脚本两种方式创建Vivado HLS项目 用各种HLS指令综合接口 优化Vivado
    的头像 发表于 12-21 16:27 3206次阅读

    如何在Vitis中把设置信息传递到底层的Vivado

    在Vitis完成这个过程的底层,实际调用的是Vivado。Vitis会指定默认的Vivado策略来执行综合和实现的步骤。当默认的Vivado
    发表于 08-02 08:03 1045次阅读
    如何在Vitis中把设置信息传递到底层的<b class='flag-5'>Vivado</b>

    vivado 2015.3 综合报错

    菜鸟求指教,最近在用vivado 2015.3 做个小项目,遇到问题:代码综合后会报错:'get_property' expects at least one object.错误地址IP的 clocks.xdc文件中。
    发表于 08-31 10:42

    vivado:时序分析与约束优化

    能够有一些时序问题,我们再通过时序分析的方法对它进行优化。我们这里把原本的100M时钟改成了200M时钟,具体步骤如下: 一:更改时钟之后进行综合,并打开timing analysis
    发表于 08-22 11:45

    Vivado工程用第三方综合工具Synplify

    Vivado下的工程能用Synplify综合吗?怎么找不到综合工具添加的位置
    发表于 06-04 09:45

    怎么vivado HLS中创建一个IP

    的设计之后......当我尝试运行综合时,它需要一个小时而没有结果,我tcl控制台中收到相同的警告什么是获得红色警告的解决方案?
    发表于 04-03 08:48

    vivado综合Soc设计时发现的错误,请问如何解决?

    嗨, 我们正在尝试将自定义IP连接到vivado的IP集成商中的可用IP。但我们综合设计时发现了一些问题。请查看附带的截图以获取详细视图。谢谢。
    发表于 04-09 06:28

    Vivado图形化界面IDE中运行和调试Tcl命令

    opt_design的tcl.pre中指定,使之有效(如下图所示)。Vivado的图形界面中,综合(Synthesis)和实现(Implementation)阶段的每个子步骤都可以添
    发表于 06-17 14:52

    Vivado逻辑分析仪使用教程

    仪使用教程。话不多说,上货。Vivado逻辑分析仪使用教程传统的逻辑分析使用时,我们需要将所要观察的信号连接到FPGA的IO管脚上,然后观察信号。当信号比较多时,我们操作起来会比较
    发表于 04-17 16:33

    如何将Vivado IP和第三方综合工具配合使用

    观看视频,学习如何将 Vivado IP 和第三方综合工具配合使用。 此视频将通过一个设计实例引导您完成创建自定义 IP 的步骤;用第三方综合工具IP黑盒子来审查所需 IP 输出;整合
    的头像 发表于 11-21 06:34 4851次阅读

    Vivado综合引擎的增量综合流程

    Vivado 2019.1 版本开始,Vivado 综合引擎就已经可以支持增量流程了。这使用户能够在设计变化较小时减少总的综合运行时间。
    发表于 07-21 11:02 1389次阅读

    Vivado中怎么定制Strategy

    ,策略之间的差异是一些选项的不同造成的。以Implementation为例,这些选项包括每个子步骤的directive值。VivadoImplementation包括7个子步骤:opt_design
    的头像 发表于 11-18 16:17 2988次阅读

    Vivado中怎么定制Strategy

    ,策略之间的差异是一些选项的不同造成的。以Implementation为例,这些选项包括每个子步骤的directive值。 VivadoImplementation包括7个子步骤:opt_design
    的头像 发表于 12-07 16:17 2562次阅读

    Vivado综合参数设置

    如果你正在使用Vivado开发套件进行设计,你会发现综合设置中提供了许多综合选项。这些选项对综合结果有着潜在的影响,而且能够提升设计效率。为了更好地利用这些资源,需要仔细研究每一个选项
    的头像 发表于 05-16 16:45 2117次阅读
    <b class='flag-5'>Vivado</b><b class='flag-5'>综合</b>参数设置