0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

《基于FPGA的IIC设计》

电子工程师 来源:FPGA设计论坛 作者:FPGA设计论坛 2020-11-20 16:21 次阅读

《基于FPGA 的 IIC设计

设计背景:

IIC 简单来说,就是一种串行通信协议,IIC 的通信协议和通信接口在很多工程中有广泛的应用,如数据采集领域的串行 AD,图像处理领域的摄像头配置,工业控制领域的 X 射线管配置等等。除此之外,由于 IIC 协议占用的 IO 资源特别少,连接方便,所以工程中也常选用 IIC 接口做为不同芯片间的通信协议。

设计原理:

IIC 电路原理图如下:

24LC64 各引脚定义:

1、A0,A1,A2 为 24LC64 的片选信号,由于 IIC 总线可以挂载多个 IIC 接口器件,所以每个器件都应该有自己的“身份标识”,通过对 A0,A1,A2 输入不同的高低电平,就可以设置该 EEPROM 的片选信号。

2、WP 为读写使能信号,当 WP 悬空或者接地,EEPROM 可读可写,当 WP 接电源,EEPROM 只能读不能写。

3、SCL 为 IIC 接口的时钟线。

4、SDA 为 IIC 接口的数据线。

IIC 接口的读写时序:

IIC 接口读写时序分为随机读写(单字节读写)和页面读写(多字节读写),先分析随机读写(Byte Write/Read)时序。Byte Write 时序如下:

时序解读:如果我们要向 EEPROM 写入一个字节,那么必须经过以下步骤:

1. 发送启动信号

2. 发送控制字

3. 接收并检测 EEPROM 发来的应答信号 ACK

4. 发送高字节地址位

5. 接收并检测 EEPROM 发来的应答信号 ACK

6. 发送低字节地址位

7. 接收并检测 EEPROM 发来的应答信号 ACK

8. 发送 8bit 有效数据

9. 接收并检测 EEPROM 发来的应答信号 ACK

10.发送停止信号

Byte Read 时序如下:

时序解读:如果我们要从 EEPROM 读出一个字节,那么必须经过以下步骤:

1. 发送启动信号

2. 发送控制字 1010_A2A1A0_0

3. 接收并检测 EEPROM 发来的应答信号 ACK

4. 发送高字节地址位

5. 接收并检测 EEPROM 发来的应答信号 ACK

6. 发送低字节地址位

7. 接收并检测 EEPROM 发来的应答信号 ACK

8. 发送启动信号

9. 发送控制字 1010_A2A1A0_1

10. 接收并检测 EEPROM 发来的应答信号 ACK

11. 读取一个字节数据

12. 发送 NO ACK 信号

13. 发送停止信号

接下来则需要分析各步骤具体意义:

1.启动信号

在 SCL 保持高电平期间,如果 SDA 出现由高到低的跳变沿,代表启动信号

2. 控制字

我们的控制字为 1010_0000,其中 1010 为 EEPROM 的型号标识,为一组固定的序列,紧接着 A2,A1,A0 就是我们的片选信号,最后一位为读写控制位,低电平代表写,高电平代表读,我们这里首先需要对 EEPROM 写入地址位,所以我们最后一位为 0。

3. 高/低位地址

由于 24LC64 有 64Kbit 的存储空间,所以我们需要 13 位的地址位宽才能寻址所有的存储空间,由于 IIC 协议规定只能以字节形式写入,所以必须将 13 位的地址扩展为 16 位的地址,分为高八位和低八位,多出来的前三位填充任意数据即可,对我们的寻址地址没有影响。

3. 停止信号

4. 应答信号 ACK

应答信号是由数据接收方发出的,当 SCL 为高电平期间,如果监测到 SDA 为低电平,说明有应答信号。

5. 非应答信号 NO ACK

非应答信号也是由数据接收方发出的,当 SCL 为高电平期间,如果 SDA 为高电平,说明有非应答信号。

说明:由于 IIC 总线协议启动和停止信号都是在 SCL 高电平期间发生跳变,这就决定了我们其他数据的改变只能发生在 SCL 低电平期间,在 SCL 为高电平期间,数据必须保持稳定。即在 SCL 低电平改变数据,在 SCL 高电平采集数据。相比于单字节读写,页面读写只是增加了几个状态,具体时序如下,这里和后面的设计代码不做详细论述。

Page Write 时序如下:

Page Read 时序如下:

设计架构图:

本设计用两个按键控制 EEPROM 读写,当写按键按下时,向EEPROM 某一固定地址写入一个字节数据,当读按键按下时,将该地址数据读出,并显示到数码管LED 灯是一个标志信号,LED 亮说明数据写入完毕。设计架构如下:

设计代码:

iic_wr 模块代码:负责进行 IIC 数据的读写

seg7_lut 模块代码,负责数码管显示

IIC 顶层模块代码:

tb 顶层测试模块代码:

仿真图:

随机读写,仿真写时序:

随机读写,仿真读时序:

在仿真时,需要将检测应答的状态跳过,直接向下一状态跳转,观察读写时序,当读写按键按下时,都会产生对应的动作。

责任编辑:lq

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21309

    浏览量

    593124
  • IIC
    IIC
    +关注

    关注

    11

    文章

    285

    浏览量

    37795
  • 工业控制
    +关注

    关注

    36

    文章

    1274

    浏览量

    85449

原文标题:FPGA入门课程《基于 FPGA 的 IIC设计》

文章出处:【微信号:gh_9d70b445f494,微信公众号:FPGA设计论坛】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    FPGA实现IIC协议的设计

    今天给大家带来的是IIC通信,IIC协议应用非常广泛,例如与MPU6050进行通信,配置OV5640摄像头、驱动OLED屏幕等等,都需要使用到IIC协议,所以掌握它是非常必要的,废话不多说,接着往下看。
    的头像 发表于 03-04 10:49 578次阅读
    <b class='flag-5'>FPGA</b>实现<b class='flag-5'>IIC</b>协议的设计

    IIC总线的FPGA实现说明

    DE2_TV中,有关于寄存器的配置的部分,采用的方法是通过IIC的功能,这里对IIC总线的FPGA实现做个说明。
    的头像 发表于 01-05 10:16 313次阅读
    <b class='flag-5'>IIC</b>总线的<b class='flag-5'>FPGA</b>实现说明

    FPGA通过IIC总线配置ADV7391出色条测试画面,总是不成功怎么解决?

    FPGA通过IIC总线配置ADV7391出色条测试画面,总是不成功。 配置信息如下: 地址数据备注 0x170x02软件复位 0x000x1C三路DAC开启 0x82 0x立方厘米像素数
    发表于 12-15 06:01

    TouchGFX开发(3)----使用TouchGFX配置IIC接口OLED

    TouchGFX开发(3)----使用TouchGFX配置IIC接口OLED
    的头像 发表于 11-09 15:31 847次阅读
    TouchGFX开发(3)----使用TouchGFX配置<b class='flag-5'>IIC</b>接口OLED

    硬件iic对比模拟iic是好用点吗?

    硬件iic对比模拟iic是好用点么?为什么很多库函数里面都自带硬件的,而没有模拟的?
    发表于 11-08 06:31

    STM32基础知识:IIC概述与软件模拟IIC

    内部集成电路(Inter Integrated circuit )的简称叫做IIC,是一种简单的、半双工同步通信的串行通信接口,IIC总线是上世纪80年代(1982年)由飞利浦公司设计出来,当时的目的是为了给MCU和外围芯片提供更简单的交互方式。
    的头像 发表于 10-26 11:47 673次阅读
    STM32基础知识:<b class='flag-5'>IIC</b>概述与软件模拟<b class='flag-5'>IIC</b>

    STM32的软件模拟IIC和硬件IIC有什么区别?

    STM32的软件模拟IIC和硬件IIC有什么区别
    发表于 10-10 06:09

    用面向对象思想封装IIC、AT24C64驱动

    使用面向对象的编程思想封装IIC驱动,将IIC的属性和操作封装成一个库,在需要创建一个IIC设备时只需要实例化一个IIC对象即可,本文是基于STM32和HAL库做进一步封装的。
    的头像 发表于 10-08 15:35 497次阅读

    IIC总线学习笔记

    IIC是飞利浦提出的一种通信总线结构,其硬件架构比较简单,仅包含SCL和SDA两条线。根据最新的IIC总线标准,IIC总线包含Standard-mode(100kbit/s)、Fast-mode
    发表于 09-20 15:18 504次阅读
    <b class='flag-5'>IIC</b>总线学习笔记

    iic的时钟信号哪里来的?

    iic的时钟信号哪里来的? IIC(Inter-Integrated Circuit)总线是一种基于同步串行通信的通信标准。在IIC总线上,每条线路上都有两个信号,一个是时钟信号(SCL),另一个
    的头像 发表于 09-19 17:16 859次阅读

    STM32 IIC读写AT24C02(一)

    要用IIC读写AT24C02,首先要了解IIC是什么。
    发表于 07-22 10:28 581次阅读
    STM32 <b class='flag-5'>IIC</b>读写AT24C02(一)

    fpga入门的基础知识 FPGA零基础学习IIC协议驱动设计

    根据时序参数,决定将IIC的速率定为50KHz。发送时,数据改变在SCL的低电平的正中间;读取时,在SCL高电平的正中间进行读取。
    发表于 07-18 09:33 500次阅读
    <b class='flag-5'>fpga</b>入门的基础知识 <b class='flag-5'>FPGA</b>零基础学习<b class='flag-5'>IIC</b>协议驱动设计

    基于STM32和HAL库创建一个IIC设备

    使用面向对象的编程思想封装IIC驱动,将IIC的属性和操作封装成一个库,在需要创建一个IIC设备时只需要实例化一个IIC对象即可,本文是基于STM32和HAL库做进一步封装的。
    的头像 发表于 06-26 17:15 1247次阅读

    IIC总线调试故障具体原因的定位过程及解决方法

    在某项目研发过程,软件与FPGA联合调试xx芯片IIC总线过程中,遇到故障现象:软件发起一次IIC操作后,未收到ACK应答响应。
    发表于 06-26 14:30 1305次阅读
    <b class='flag-5'>IIC</b>总线调试故障具体原因的定位过程及解决方法

    IIC总线的FPGA实现原理及过程

    IIC总线的FPGA实现原理及过程 IIC总线概述 IIC开发于1982年,当时是为了给电视机内的CPU和外围芯片提供更简易的互连方式。电视机是早的嵌入式系统之一,而初的嵌入系统是使用
    的头像 发表于 05-15 02:35 1696次阅读
    <b class='flag-5'>IIC</b>总线的<b class='flag-5'>FPGA</b>实现原理及过程