0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

FPGA:状态机简述

FPGA开源工作室 来源:FPGA开源工作室 作者:FreeZynq 2020-11-05 17:58 次阅读

本文目录

前言

状态机简介

状态机分类
Mealy 型状态机
Moore 型状态机

状态机描述
一段式状态机
二段式状态机
三段式状态机

状态机优缺点

总结

扩展-四段式状态机

01. 前言

状态机是FPGA设计中一种非常重要、非常根基的设计思想,堪称FPGA的灵魂,贯穿FPGA设计的始终。

02. 状态机简介

什么是状态机:状态机通过不同的状态迁移来完成特定的逻辑操作(时序操作)状态机是许多数字系统的核心部件, 是一类重要的时序逻辑电路。通常包括三个部分:
下一个状态的逻辑电路
存储状态机当前状态的时序逻辑电路
输出组合逻辑电路

03. 状态机分类

通常, 状态机的状态数量有限, 称为有限状态机(FSM) 。由于状态机所有触发器的时钟由同一脉冲边沿触发, 故也称之为同步状态机。

根据状态机的输出信号是否与电路的输入有关分为 Mealy 型状态机和 Moore 型状态机

3.1,Mealy 型状态机

电路的输出信号不仅与电路当前状态有关, 还与电路的输入有关

3.2,Moore 型状态机

电路的输出仅仅与各触发器的状态, 不受电路输入信号影响或无输入

状态机的状态转移图, 通常也可根据输入和内部条件画出。一般来说, 状态机的设计包含下列设计步骤:

根据需求和设计原则, 确定是 Moore 型还是 Mealy 型状态机;

分析状态机的所有状态, 对每一状态选择合适的编码方式, 进行编码;

根据状态转移关系和输出绘出状态转移图;

构建合适的状态机结构, 对状态机进行硬件描述。

04. 状态机描述

状态机的描述通常有三种方法, 称为一段式状态机, 二段式状态机和三段式状态机。
状态机的描述通常包含以下四部分:

利用参数定义语句 parameter 描述状态机各个状态名称, 即状态编码。状态编码通常有很多方法包含自然二进制编码, One-hot 编码,格雷编码码等;

用时序的 always 块描述状态触发器实现状态存储;

使用敏感表和 case 语句(也采用 if-else 等价语句) 描述状态转换逻辑;

描述状态机的输出逻辑。

下面根据状态机的三种方法来具体说明

4.1,一段式状态机

1moduledetect_1( 2inputclk_i, 3inputrst_n_i, 4outputout_o 5); 6regout_r; 7//状态声明和状态编码 8reg[1:0]state; 9parameter[1:0]S0=2'b00; 10parameter[1:0]S1=2'b01; 11parameter[1:0]S2=2'b10; 12parameter[1:0]S3=2'b11; 13always@(posedgeclk_i) 14begin 15if(!rst_n_i)begin 16state<=0; 17    out_r<=1'b0; 18  end 19  else 20    case(state) 21      S0 : 22      begin 23        out_r<=1'b0; 24        state<= S1; 25      end 26      S1 : 27      begin 28        out_r<=1'b1; 29        state<= S2; 30      end 31      S2 : 32      begin 33        out_r<=1'b0; 34        state<= S3; 35      end 36      S3 : 37        begin 38        out_r<=1'b1; 39      end 40    endcase 41end 42assign out_o=out_r; 43endmodul 44

一段式状态机是应该避免使用的, 该写法仅仅适用于非常简单的状态机设计。

4.2,两段式状态机

1moduledetect_2( 2inputclk_i, 3inputrst_n_i, 4outputout_o 5); 6regout_r; 7//状态声明和状态编码 8reg[1:0]Current_state; 9reg[1:0]Next_state; 10parameter[1:0]S0=2'b00; 11parameter[1:0]S1=2'b01; 12parameter[1:0]S2=2'b10; 13parameter[1:0]S3=2'b11; 14//时序逻辑:描述状态转换 15always@(posedgeclk_i) 16begin 17if(!rst_n_i) 18Current_state<=0; 19    else 20      Current_state<=Next_state; 21  end 22  //组合逻辑:描述下一状态和输出 23  always@(*) 24  begin 25    out_r=1'b0; 26    case(Current_state) 27      S0 : 28        begin 29          out_r=1'b0; 30          Next_state= S1; 31        end 32      S1 : 33        begin 34          out_r=1'b1; 35          Next_state= S2; 36        end 37      S2 : 38        begin 39          out_r=1'b0; 40          Next_state= S3; 41        end 42      S3 : 43        begin 44          out_r=1'b1; 45          Next_state=Next_state; 46        end 47    endcase 48  end 49  assign out_o = out_r; 50endmodule 51

两段式状态机采用两个 always 模块实现状态机的功能, 其中一个 always 采用同步时序逻辑描述状态转移, 另一个 always 采用组合逻辑来判断状态条件转移。

4.3,三段式状态机

1moduledetect_3( 2inputclk_i, 3inputrst_n_i, 4outputout_o 5); 6regout_r; 7//状态声明和状态编码 8reg[1:0]Current_state; 9reg[1:0]Next_state; 10parameter[1:0]S0=2'b00; 11parameter[1:0]S1=2'b01; 12parameter[1:0]S2=2'b10; 13parameter[1:0]S3=2'b11; 14//时序逻辑:描述状态转换 15always@(posedgeclk_i) 16begin 17if(!rst_n_i) 18Current_state<=0; 19    else 20      Current_state<=Next_state; 21  end 22  //组合逻辑: 描述下一状态 23  always@(*) 24  begin 25    case(Current_state) 26      S0: 27        Next_state = S1; 28      S1: 29        Next_state = S2; 30      S2: 31        Next_state = S3; 32      S3: 33        begin 34          Next_state = Next_state; 35        end 36      default : 37      Next_state = S0; 38    endcase 39  end 40  //输出逻辑: 让输出 out, 经过寄存器 out_r 锁存后输出, 消除毛刺 41  always@(posedge clk_i) 42  begin 43    if(!rst_n_i) 44      out_r<=1'b0; 45    else 46      begin 47        case(Current_state) 48          S0,S2: 49            out_r<=1'b0; 50          S1,S3: 51            out_r<=1'b1; 52          default : 53            out_r<=out_r; 54        endcase 55      end 56  end 57 58  assign out_o=out_r; 59endmodule 60

三段式状态机在第一个 always 模块采用同步时序逻辑方式描述状态转移, 第二个always 模块采用组合逻辑方式描述状态转移规律, 第三个 always 描述电路的输出。通常让输出信号经过寄存器缓存之后再输出, 消除电路毛刺。

05. 状态机优缺点

1、一段式状态机

只涉及时序电路,没有竞争与冒险,同时消耗逻辑比较少。

但是如果状态非常多,一段式状态机显得比较臃肿,不利于维护。

2、两段式状态机

当一个模块采用时序(状态转移),一个模块采用组合时候(状态机输出),组合逻辑电路容易造成竞争与冒险;当两个模块都采用时序,可以避免竞争与冒险的存在,但是整个状态机的时序上会延时一个周期。

两段式状态机是推荐的状态机设计方法。

3、三段式状态机

三段式状态机在状态转移时采用组合逻辑电路+格雷码,避免了组合逻辑的竞争与冒险;状态机输出采用了同步寄存器输出,也可以避免组合逻辑电路的竞争与冒险;采用这两种方法极大的降低了竞争冒险。并且在状态机的采用这种组合逻辑电路+次态寄存器输出,避免了两段式状态机的延时一个周期(三段式状态机在上一状态中根据输入条件判断当前状态的输出,从而在不插入额外时钟节拍的前提下,实现寄存器的输出)。

三段式状态机也是比较推崇的,主要是由于维护方便, 组合逻辑与时序逻辑完全独立。

06. 总结

灵活选择状态机,不一定要拘泥理论,怎样方便怎样来

07.扩展

四段式不是指三个always代码,而是四段程序。使用四段式的写法,可参照明德扬GVIM特色指令Ztj产生的状态机模板。

明·德·扬四段式状态机符合一次只考虑一个因素的设计理念。

第一段代码,照抄格式,完全不用想其他的。

第二段代码,只考虑状态之间的跳转,也就是说各个状态机之间跳转关系。

第三段代码,只考虑跳转条件。

第四段,每个信号逐个设计。

有兴趣的话可以自己去学习一下,或者http://www.mdyedu.com/product/299.html自行看视频

责任编辑:xj

原文标题:FPGA 高手养成记-浅谈状态机

文章出处:【微信公众号:FPGA开源工作室】欢迎添加关注!文章转载请注明出处。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1599

    文章

    21273

    浏览量

    592820
  • 时钟
    +关注

    关注

    10

    文章

    1479

    浏览量

    130295
  • 状态机
    +关注

    关注

    2

    文章

    484

    浏览量

    27156

原文标题:FPGA 高手养成记-浅谈状态机

文章出处:【微信号:leezym0317,微信公众号:FPGA开源工作室】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    Verilog状态机+设计实例

    在verilog中状态机的一种很常用的逻辑结构,学习和理解状态机的运行规律能够帮助我们更好地书写代码,同时作为一种思想方法,在别的代码设计中也会有所帮助。 一、简介 在使用过程中我们常说
    的头像 发表于 02-12 19:07 1963次阅读
    Verilog<b class='flag-5'>状态机</b>+设计实例

    Spring状态机的实现原理和使用方法

    说起 Spring 状态机,大家很容易联想到这个状态机和设计模式中状态模式的区别是啥呢?没错,Spring 状态机就是状态模式的一种实现,在
    的头像 发表于 12-26 09:39 917次阅读
    Spring<b class='flag-5'>状态机</b>的实现原理和使用方法

    SaberRD状态机建模工具介绍(一)什么是状态机建模

    状态机建模是使用状态图和方程式的手段,创建基于混合信号的有限状态机模型的一种建模工具。
    的头像 发表于 12-05 09:51 494次阅读
    SaberRD<b class='flag-5'>状态机</b>建模工具介绍(一)什么是<b class='flag-5'>状态机</b>建模

    什么是状态机状态机的种类与实现

    状态机,又称有限状态机(Finite State Machine,FSM)或米利状态机(Mealy Machine),是一种描述系统状态变化的模型。在芯片设计中,
    的头像 发表于 10-19 10:27 4624次阅读

    有限状态机分割设计

    有限状态机分割设计,其实质就是一个状态机分割成多个状态机
    的头像 发表于 10-09 10:47 341次阅读

    如何使用FSME来定制状态机

    定制状态机 目前得到的状态机已经能够响应来自外部的各种事件,并适当地调整自己当前所处的状态,也就是说已经实现了状态机引擎的功能,接下来要做的就是根据应用的具体需求来进行定制,为
    的头像 发表于 09-13 16:57 868次阅读
    如何使用FSME来定制<b class='flag-5'>状态机</b>

    如何生成状态机框架

    生成状态机框架 使用FSME不仅能够进行可视化的状态机建模,更重要的是它还可以根据得到的模型自动生成用C++或者Python实现的状态机框架。首先在FSME界面左边的树形列表中选择"Root
    的头像 发表于 09-13 16:54 641次阅读
    如何生成<b class='flag-5'>状态机</b>框架

    自动生成程序状态机代码状态机建模方法

    首先运行fsme命令来启动状态机编辑器,然后单击工具栏上的“New”按钮来创建一个新的状态机。FSME中用于构建状态机的基本元素一共有五种:事件(Event)、输入(Input)、输出(Output
    的头像 发表于 09-13 16:50 713次阅读
    自动生成程序<b class='flag-5'>状态机</b>代码<b class='flag-5'>状态机</b>建模方法

    07 状态机 - 第8节

    fpgaXilinx状态机
    充八万
    发布于 :2023年08月31日 07:40:36

    基于FPGA状态机设计

    状态机的基础知识依然强烈推荐mooc上华科的数字电路与逻辑设计,yyds!但是数电基础一定要和实际应用结合起来,理论才能发挥真正的价值。我们知道FPGA是并行执行的,如果我们想要处理具有前后顺序的事件就需要引入状态机
    的头像 发表于 07-28 10:02 487次阅读
    基于<b class='flag-5'>FPGA</b>的<b class='flag-5'>状态机</b>设计

    如何在FPGA中实现状态机

    状态机往往是FPGA 开发的主力。选择合适的架构和实现方法将确保您获得一款最佳解决方案。 FPGA 常常用于执行基于序列和控制的行动, 比如实现一个简单的通信协议。对于设计人员来说,满足这些行动
    的头像 发表于 07-18 16:05 549次阅读
    如何在<b class='flag-5'>FPGA</b>中实现<b class='flag-5'>状态机</b>

    状态机要实现哪些内容

    状态机模式是一种行为模式,通过多态实现不同状态的调转行为的确是一种很好的方法,只可惜在嵌入式环境下,有时只能写纯C代码,并且还需要考虑代码的重入和多任务请求跳转等情形,因此实现起来着实需要一番考虑
    的头像 发表于 06-22 14:26 439次阅读
    <b class='flag-5'>状态机</b>要实现哪些内容

    状态机编程实例-状态表法

    上篇文章,使用嵌套switch-case法的状态机编程,实现了一个炸弹拆除小游戏。本篇,继续介绍状态机编程的第二种方法:状态表法,来实现炸弹拆除小游戏的状态机编程。
    的头像 发表于 06-20 09:05 1235次阅读
    <b class='flag-5'>状态机</b>编程实例-<b class='flag-5'>状态</b>表法

    Verilog状态机的类型

    有限状态机(Finite-State Machine,FSM),简称状态机,是表示有限个状态以及在这些状态之间的转移和动作等行为的数学模型。
    的头像 发表于 06-01 15:23 1295次阅读
    Verilog<b class='flag-5'>状态机</b>的类型

    详细介绍FPGA状态机的设计和应用

    FPGA的特点是并行执行,但如果需要处理一些具有前后顺序的事件,就需要使用状态机
    发表于 05-22 14:24 676次阅读
    详细介绍<b class='flag-5'>FPGA</b><b class='flag-5'>状态机</b>的设计和应用