0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

硬件工程师百问百答

STM32嵌入式开发 来源:STM32嵌入式开发 作者:STM32嵌入式开发 2020-11-03 10:00 次阅读

1请列举您知道的电阻电容、电感品牌(最好包括国内、国外品牌)。

电阻:

美国:AVX、VISHAY 威世

日本:KOA 兴亚、Kyocera 京瓷、muRata 村田、Panasonic 松下、ROHM 罗姆、susumu、TDK

台湾:LIZ 丽智、PHYCOM 飞元、RALEC 旺诠、ROYALOHM 厚生、SUPEROHM 美隆、TA-I 大毅、TMTEC 泰铭、TOKEN德键、TYOHM 幸亚、UniOhm 厚声、VITROHM、VIKING 光颉、WALSIN 华新科、YAGEO 国巨

新加坡:ASJ

中国:FH 风华、捷比信

电容:

美国:AVX、KEMET 基美、Skywell 泽天、VISHAY 威世

英国:NOVER 诺华德国:EPCOS、WIMA 威马丹麦:JENSEN 战神

日本:ELNA 伊娜、FUJITSU 富士通、HITACHI 日立、KOA 兴亚、Kyocera 京瓷、Matsushita 松下、muRata 村田、NEC、nichicon(蓝宝石)尼吉康、Nippon Chemi-Con(黑金刚、嘉美工)日本化工、Panasonic 松下、Raycon 威康、Rubycon(红宝石)、SANYO 三洋、TAIYO YUDEN 太诱、TDK、TK 东信

韩国:SAMSUNG 三星、SAMWHA 三和、SAMYOUNG 三莹

台湾:CAPSUN、CAPXON(丰宾)凯普松、Chocon、Choyo、ELITE 金山、EVERCON、EYANG 宇阳、GEMCON 至美、GSC 杰商、G-Luxon 世昕、HEC 禾伸堂、HERMEI 合美电机、JACKCON 融欣、JPCON 正邦、LELON 立隆、LTEC 辉城、OST 奥斯特、SACON 士康、SUSCON 冠佐、TAICON 台康、TEAPO 智宝、WALSIN 华新科、YAGEO 国巨

香港:FUJICON 富之光、SAMXON 万裕中国:AiSHi 艾华科技、Chang 常州华威电子、FCON 深圳金富康、FH 广东风华、HEC 东阳光、JIANGHAI 南通江海、JICON 吉光电子、LM 佛山利明、R.M 佛山三水日明电子、Rukycon 海丰三力、Sancon 海门三鑫、SEACON 深圳鑫龙茂电子、SHENGDA 扬州升达、TAI-TECH 台庆、TF 南通同飞、TEAMYOUNG 天扬、QIFA 奇发电子

电感:

美国:AEM、AVX、Coilcraft 线艺、Pulse 普思、VISHAY 威世

德国:EPCOS、WE

日本:KOA 兴亚、muRata 村田、Panasonic 松下、sumida 胜美达、TAIYO YUDEN 太诱、TDK、TOKO、TOREX 特瑞仕

台湾:CHILISIN 奇力新、Mag.Layers 美磊、TAI-TECH 台庆、TOKEN 德键、VIKING 光颉、WALSIN 华新科、YAGEO 国巨

中国:Gausstek 丰晶、GLE 格莱尔、FH 风华、CODACA 科达嘉、Sunlord 顺络、紫泰荆、肇庆英达

2请解释电阻、电容、电感封装的含义:0402、0603、0805。

表示的是尺寸参数。0402:40*20mil;0603:60*30mil;0805:80*50mil。

3请说明以下字母所代表的电容的精度:J、K、M、Z。

J——±5%;K——±10%;M——±20%;Z——+80%~-20%

4请问电阻、电容、电感的封装大小分别与什么参数有关?

电阻封装大小与电阻值、额定功率有关;电容封装大小与电容值、额定电压有关;电感封装大小与电感量、额定电流有关。

5如果某 CPU 有很多IO 端口需要接上下拉电阻,电阻范围1~10K 欧姆均可。以下规格的电阻,您会选择哪一种:1K/1%、4.99K/1%、10K/1%、1K/5%、2.2K/5%、4.7K/5%、8.2K/5%、10K/5%、3.9K/10%、5.6K/10%、4.7K/20%?说明你选择该电阻的理由。

从理论上来说,1~10K 的电阻都可以采用,但如果从价格上考虑,当然是4.7K/20%的最合算。

6请简述压敏电阻工作原理

当压敏电阻上的电压超过一定幅度时,电阻的阻值降低,从而将浪涌能量泄放掉,并将浪涌电压限制在一定的幅度。

7请简述 PTC 热敏电阻作为电源电路保险丝的工作原理。

电源输入电压增大或负载过大导致电流异常增大的时候,PTC 热敏电阻因为温度增大而使其等效电阻迅速增大,从而使输出电压下降,减小输出电流。当故障去除,PTC 热敏电阻恢复到常温,其电阻又变的很小,电源电路恢复到正常工作状态。

8常见贴片电容的材质有:X7R、X5R、Y5V、NPO(COG)、Z5U。请问电容值和介质损耗最稳定的电容是哪一种?

电容值和介质损耗最稳定的是NPO(COG)材质电容。

9某磁珠的参数为 100R@100MHz,请解释参数的含义。

在100MHz 频率下的阻抗值是100欧姆。

10请问共模电感的作用是什么?

抑制共模干扰。

11绿色发光二极管的导通压降大概是多少伏?

2V左右。

12如果一个LED 指示灯没有定义颜色,红、绿、黄、橙、蓝、白色你会选择哪一种,为什么?

按照使用习惯,电源指示灯用红色,信号指示灯用绿色,这两种颜色的LED 灯技术最成熟,价格最便宜。

13请简述TVS 瞬态电压抑制二极管的工作原理。

当TVS 上的电压超过一定幅度时,器件迅速导通,从而将浪涌能量泄放掉,并将浪涌电压限制在一定的幅度。

14请列举您知道的二极管型号。

1N4148、1N5817、1N5819、1N5820、1N5822、1N4001、1N4007、SR160、SR360、BAT54A、BAT54C、BAT54S

15请列举您知道的NPN 三极管型号。

2N2222、2N3904、2N5550、2N5551、M8050、S9013、S9014、S9018

16请列举您知道的PNP 三极管型号。

2N3906、M8550、S9012、2SB1005、2SB1184、2SB1386、2SB1412、2N4403、2N4030

17列举您知道的P-MOS 管型号。

AO3415、Si2301DS、Si2305DS、AP4435M、AP9435M

18列举您知道的N-MOS 管型号。

IRF7809A、Si2302DS、BSS138

19为什么OD(开漏)门和OC(开集)门输出必须加上拉电阻?

因为MOS 管和三极管关闭时,漏极D 和集电极C 是高阻态,输出无确定电平,必须提供上拉电平,确定高电平时的输出电压。

2020、列举您知道的LDO(低压差线性稳压器)的型号。

AZ1084、AZ1085、AZ1086、AMS1117、AS1581、APL5102、BL8503、AP1184、AP1186、LM7805、 LM7812 、LM7905、R1114、RT9169、RT9172、TPS73701、XC6206、XC6210。

21请列举您知道的DC-DC 控制器型号。

AP34063、AAT1160、APW7102、APW7136、BL8530、AP1507、LM2576、LM2596、RT8008、SP6123、 XC9201

22请简述一下DC-DC 和LDO 的区别。

DC-DC 通过开关斩波、电感的磁电能量转换、电容滤波实现基本平滑的电压输出。关电源输出电流大,带负载能力强,

转换效率高,但因为有开关动作,会有高频辐射。

LDO是通过调整三极管或MOS 管的输入输出电压差来实现固定的电压输出,基本元件是调整管和电压参考元件,电压转换的过程是连续平滑的,电路上没有开关动作。LDO 电路的特点是输出电压纹波很小,带负载能力较弱,转换效率较低。

23请问电荷泵升压电路一般应用在什么场合?电荷泵可以胜任大电流的应用吗,为什么?

电荷泵通过开关对电容充放电实现升压,因为电路没有电感元件储能,驱动能力较弱,只可以用于小电流场合。

24请列举您知道的复位IC 型号。

IMP809、IMP811。

25请列举您知道的51 单片机型号。

AT89C2051、AT89C51、AT89S52、W78E65、W78E516B。

26请列举您知道的ARM CPU 型号。

S3C4510B、S3C44B0、S3C2440、S3C2442、S3C2443、S3C2410、S3C2412、S3C2416、S3C 6400、OMAP3530、AM3517

27请解释WatchDog(看门狗)的工作原理。

看门狗有两个重要信号:时钟输入和复位输出。电路工作时,CPU 送出时钟信号给看门狗,即喂狗。如果系统出现故障,CPU 无法送出连续的时钟信号,看门狗即输出复位信号给CPU,复位系统。

28请列举三种典型的ESD 模型。

人体模型(HBM)、机器模型(MM)、带电器件模型(CDM)。

29请问RoHS 指令限制在电子电气设备中使用哪六种有害物质?

限制使用铅、汞、镉、六价铬、多溴联苯(PBB)和多溴二苯醚(PBDE)等六种有害物质。

30晶体管基本放大电路有共射、共集、共基三种接法,请简述这三种基本放大电路的特点。

共射:共射放大电路具有放大电流和电压的作用,输入电阻大小居中,输出电阻较大,频带较窄,适用于一般放大。

共集:共集放大电路只有电流放大作用,输入电阻高,输出电阻低,具有电压跟随的特点,常做多级放大电路的输入级和输出级。

共基:共基电路只有电压放大作用,输入电阻小,输出电阻和电压放大倍数与共射电路相当,高频特性好,适用于宽频带放大电路。

31多级放大电路的级间耦合方式有哪几种?哪种耦合方式的电路零点偏移最严重?哪种耦合方式可以实现阻抗变换?

有三种耦合方式:直接耦合、阻容耦合、变压器耦合。直接耦合的电路零点漂移最严重,变压器耦合的电路可以实现阻抗变换。

32名词解释:耦合、去耦、旁路、滤波。

耦合:两个本来分开的电路之间或一个电路的两个本来相互分开的部分之间的交链。可使能量从一个电路传送到另一个电路,或由电路的一个部分传送到另一部分。

去耦:阻止从一电路交换或反馈能量到另一电路,防止发生不可预测的反馈,影响下一级放大器或其它电路正常工作。

旁路:将混有高频信号和低频信号的信号中的高频成分通过电子元器件(通常是电容)过滤掉,只允许低频信号输入到下一级,而不需要高频信号进入。

滤波:滤波是将信号中特定波段频率滤除的操作,是抑制和防止干扰的一项重要措施。

33什么是竞争与冒险?

逻辑电路中,由于门的输入信号经过不同的延时,到达门的时间不一致,这种情况叫竞争。由于竞争而导致输出产生毛刺(瞬间错误),这一现象叫冒险。

34无源滤波器和有源滤波器有什么区别?

无源滤波器由无源器件R、L、C 组成,将其设计为某频率下极低阻抗,对相应频率谐波电流进行分流,其行为模式为提供被动式谐波电流旁路通道。无源滤波器可分为两大类:调谐滤波器和高通滤波器。无源滤波器结构简单、成本低廉、运行可靠性高,是应用广泛的被动式谐波治理方案。

有源滤波器由有源器件(如集成运放)和R、C 组成,不用电感L、体积小、重量轻。有源滤波器实际上是一种具有特定频率响应的放大器。集成运放的开环电压增益和输入阻抗很高,输出电阻很小,构成有源滤波电路后有一定的电压放大和缓冲作用。集成运放带宽有限,所以有源滤波器的工作频率做不高。

35请问锁相环由哪几部分组成?

由鉴相器、环路滤波器和压控振荡器三部分组成。

36请问RS-232C 标准的逻辑0和逻辑1 电压范围是多少?

RS-232C 电气标准是负逻辑,逻辑0的电压范围是+5V~+15V,逻辑1 的电压范围是-5V ~-15V。-5V~+5V 为不稳定区。

37名词解释:UART、USRT、USART。

UART:Universal Asychronous Receiver/Transmitter,通用异步接收器/发送器,能够完成异步通信

USRT:Universal Sychronous Receiver/Transmitter,通用同步接收器/发送器,能够完成同步通信。

USART:Universal Sychronous Asychronous Receiver/Transmitter,通用同步异步接收器/发送器,能完成异步和同步通信。

38请问串口异步通信的字符帧格式由哪几部分组成?

由起始位、数据位、奇偶校验位和停止位四部分组成。

39请列举您知道的差分平衡电平接口

RS422RS485RJ45CANUSB、LVDS。

40电磁干扰的三要素是什么?

电磁干扰源、干扰传播路径和干扰敏感设备。

41请解释一下什么是串扰和振铃。

串扰:串扰是指一个信号被其它信号干扰,作用原理是电磁场耦合。信号线之间的互感和互容会引起线上的噪声。容性耦合引发耦合电流,而感性耦合引发耦合电压。

振铃:是因为信号线本身阻抗不匹配导致信号发生反射和叠加,从而使信号出现了振荡波形。

42您所遇到的需要控制单端阻抗为50欧姆、75 欧姆的信号有哪些?您所遇到的需要控制差分阻抗为90欧姆、100欧姆、120欧姆的信号有哪些?

一般的高频信号线均为50欧姆~60欧姆。75 欧姆主要是视频信号线。USB 信号线差分阻抗为90欧姆,以太网差分信号线差分阻抗为100欧姆。RS422、RS485、CAN 差分信号的差分阻抗为120欧姆。

43差分线走线有两个原则:等长和等距。但在实际布线中可能无法两者都完全满足,那么请问是等长优先还是等距优先?

应该等长优先,差分信号是以信号的上升沿和下降沿的交点作为信号变化点的,走线不等长的话会使这个交点偏移,对信号的时序影响较大,另外还给差分信号中引入了共模的成分,降低信号的质量,增加了EMI。小范围的不等距对差分信号影响并不是很大,间距不一致虽然会导致差分阻抗发生变化,但因为差分对之间的耦合本身就不显著,所以阻抗变化范围也是很小的,通常在10%以内,只相当于一个过孔造成的反射,这对信号传输不会造成明显的影响。

44为什么高频信号线的参考地平面要连续(即高频信号线不能跨岛)?

参考地平面给高频信号线提供信号返回路径,返回路劲最好紧贴信号线,最小化电流环路的面积,这样有利于降低辐射、提高信号完整性。如果参考地平面不连续,则信号会自己寻找最小路径,这个返回路径可能和其他信号回路叠加,导致互相干扰。而且高频信号跨岛会使信号的特征阻抗产生特变,导致信号的反射和叠加,产生振铃现象。

45请问什么是半固化片?

半固化片是PCB 中的介质材料和粘合材料,由玻璃纤维和环氧树脂组成,介电常数大概是4.0~4.5。在常温下半固化片是固态,高温加热时半固化片胶状化将上下两侧铜箔粘合起来,半固化片成为中间的介质。

46请问什么是通孔、盲孔和埋孔?孔径多大可以做机械孔,孔径多小必须做激光孔?请问激光微型孔可以直接打在元件焊盘上吗,为什么?

通孔是贯穿整个PCB 的过孔,盲孔是从PCB 表层连接到内层的过孔,埋孔是埋在PCB 内层的过孔。大多数PCB 厂家的加工能力是这样的:大于等于8mil 的过孔可以做机械孔,小于等于6mil 的过孔需要做激光孔。对小于等于6mil 的微型孔,在钻孔空间不够时,允许一部分过孔打在PCB 焊盘上。

47请问过孔有哪两个寄生参数?这两个寄生参数对电路有什么影响?

过孔有两寄生参数:寄生电容和寄生电感。

寄生电容会延长信号的上升时间,降低电路的速度。寄生电感会削弱旁路电容的贡献,减弱整个电源系统的滤波效果。

48您知道的画原理图和PCB 的软件都有哪些

原理图软件:ProtelOrCADPADS Logic

PCB软件:Protel、Allegro、PADS Layout、Mentor Expedition

49您知道的计算PCB 阻抗的软件都有哪些?

TXLine、Polar Si6000、Polar Si8000、Polar Si9000

50请列举您知道的PCB 厂家。

张飞电子

51请列举您知道的覆铜板厂家。

生益、建滔。

52示波器铭牌一般都会标识两个参数,比如泰克TDS1002B 示波器标识的60MHz 和1GS/s,请解释这两个参数的含义。

60MHz 是指示波器的带宽,即正常可以测量60MHz 频率以下的信号。1GS/s 是指示波器的采样速率,每秒最多采样1G个点。

53当采样信号的频率低于被采样信号的最高频率时,采样所得的信号中混入了虚假的低频分量,这种现象叫做什么?

这种现象叫做频率混叠。

54什么是基频晶体?什么是泛音晶体?为何基频晶体最大频率只可以做到45MHz 左右?如何辨别基频晶体和泛音晶体?

振动在最低阶次(即基频)的晶体是基频晶体,振动在非最低阶次(即三次、五次、七次等)的晶体是泛音晶体。振动频率越高,晶体薄片的厚度就越小,机械强度就越小。当前业界切割厚度的极限约为37um,此厚度的基频晶体的振动频率只可以达到45MHz 左右。以现在业界的工艺能力,大于45MHz 的基本上都是泛音晶体,但也有价格比较高的特制基频晶体。基频晶体和泛音晶体可以通过频谱分析仪或带FFT 快速傅里叶变换功能的示波器测量。根据测量到的频谱图,如果最低频谱分量就是标称频率,这个晶体就是基频晶体。如果频谱图中含有比标称频率低的频率分量(比如3 分频、5 分频),那这个晶体就是泛音晶体。

55如果一个门电路,输入高电平阈值是2.0V,输入低电平阈值是0.8V。那么如果输入一个1.2V 的电平,请问门电路工作在什么状态?

状态不确定。

56请问为何手持便携电子产品,需要在众多输入输出接口加ESD 器件?您认为选择ESD 元件的时候需要注意哪些参数?如果一个时钟线加了ESD 器件之后接口工作不正常,把ESD 器件去掉之后却能正常工作,您认为是什么原因,应该如何重新选择ESD 器件?

手持设备,众多输入输出接口均可能受到静电放电的损害,所以要加ESD 保护器件。ESD 元件的选择需要注意三个参数:正常工作电压、动作嵌位电压和等效电容。如果等效电容过大,会影响信号的工作频率,所以需要根据信号最大工作频率来选择ESD 器件的等效电容。

57如果以电路中的功放管的工作状态来划分,音频功放可以分为哪几类?那种功放的效率最高,哪种功放的效率最低?哪种功放存在交越失真?哪种功放的功放管导通时间大于半个周期且小于一个周期,哪种功放的功放管导通时间等于半个周期?功放管一直处于放大状态的是哪种功放?

可分为四类:A 类、B 类、AB 类、D 类。D 类功放效率最高,A 类功放效率最低。B 类功放存在交越失真。AB 类功放的功放管导通时间大于半个周期小于一个周期,B 类功放的功放管导通时间是半个周期。功放管一直处于放大状态的是A 类功放。

58将一个包含有32768 个基本存储单元的存储电路设计成8 位为一个字节的ROM,请问该ROM 有多少个地址,有多少根数据读出线?

有4096 个地址,数据线是8 根。

59在函数L(A,B,C,D)= AB + CD 的真值表中,L=1 的状态有多少个?

7个。

60如果[X]补=11110011,请问[X]=?[-X]=?[-X]补=?

[X]补最高位是1,则[X]是负数,[-X]是正数。

[X]=10001101,[-X]=00001101,[-X]补=00001101。

61电容的高频等效模型为等效电阻R,等效电感L 和等效电容C 的串联。请写出该电容在高频状态下的阻抗表达式。请问该电容的谐振频率fT 是多少?在什么频率下该电容呈容性?在什么频率下该电容呈感性?在滤波电路中应如何选择电容的谐振频率?

62数字电路中常采用0.1uF 贴片电容作为滤波电容,该电容的等效串联电感典型值是5nH。请问该电容用于什么频率以下的杂讯的滤波?

63为何电源的滤波电路常常是大电容配合小电容滤波(比如220uF 电解电容配合0.1uF 贴片电容)?

由于制作材料的不同,各种电容的等效参数也不同。一般来说,电解电容和钽电容的谐振频率比较低,对低频噪声的滤波效果比较好;贴片电容谐振频率比较高,对高频噪声的滤波效果比较好。对于电源电路,由于整个PCB 板上的噪声都加到了它的上面,包括了低频噪声和高频噪声。要对电源噪声实现比较好的滤波效果,滤波电路必须在较宽的频率范围内对噪声呈现低阻抗,单独用一种电容是达不到这种效果的,必须采取大的电解电容(或钽电容)并联贴片小电容的方式。

64某滤波器的传递函数为

请问该滤波器是什么性质的滤波器(一阶、二阶、高通、低通、带通、带阻)?

这是一个二阶低通滤波器。

65请画出二极管和电阻组成的二输入与门、二输入或门。

66下图是SN7407 逻辑芯片其中一个门的电路图,请写出A 和Y 的逻辑关系式。请问这种逻辑门有什么用途?

Y=A,这是OC 输出的Buffer,用于实现TTL 电平到MOS 电平的转换,可增大输出电压和电流。输入为TTL 电平(如3.3V TTL),输出可上拉至15V 或30V,用于驱动指示灯或继电器等。

67请写出下图中A 和Y 的逻辑关系。

Y=/A,这是CMOS 结构的非门。

68请问以下晶体振荡器电路中电阻R1 有什么作用?请问C1、C2 和晶体Y1 是串联还是并联的?如果C1=C2=30pF,晶体振荡回路上的其他杂散电容之和为Cs=5pF,请问这个晶体的负载电容CL 是多少?C1、C2 应该比CL 大还是小?又或者C1=C2=CL?

R1 配合IC 内部电路组成负反馈、移相,并使反相器输入端直流工作点为1/2 电源电压,使放大器工作在线性区。C1、C2 和晶体Y1 是串联关系。CL=C1*C2/(C1+C2)+Cs=30*30/(30+30)+5=15+5=20pF,所以C1 和C2 要比负载电容CL 大。

69请写出下图中x1、x2、f 的真值表和逻辑关系式(三个场效应管均为NMOS 管)

70下图所示电路,已知Vcc=15V,β=100,UBE=0.7V。请问:(1)Rb=50KΩ时,uo=?(2)若T临界饱和,则Rb=?

(1)Ib=1.3V/50K=0.026mA,Ic=βIb=2.6mA,Uo=UCE=Vcc-Ic*Rc=15-13=2V

(2)临界饱和时Uce=Ube=0.7V,Ic=14.3V/5K=2.86mA,Ib=0.0286mA,Rb=1.3V/0.0286mA=45.45KΩ

71请问下图电路的作用是什么?

该电路实现IIC 信号的电平转换(3.3V 和5V 电平转换),并且是双向通信的。上下两路是一样的,只分析SDA 一路:

1)从左到右通信(SDA2 为输入状态):SDA1 为3.3V 高电平时,Vgs=0,NMOS 管截止,SDA2 被电阻Rp 拉高到5V。

SDA1 为低电平0V 时,Vgs=3.3V,NMOS 管导通,SDA2 为低电平。

2)从右到左通信(SDA1 为输入状态):SDA2 为高电平时,Vgs=0(S 极被Rp 拉高至3.3V),NMOS 管截止,SDA1 为高电平3.3V。SDA2 为低电平0V 时,NMOS 管内部的二极管导通,S 极被拉低到零点几伏,Vgs 为高电平,NMOS管导通,从而SDA2 将S 极电压拉得更低,直至0V,SDA1 为低电平0V。

72电路如下图所示。已知Us(t)=10*√2*cosωt(V)

求:(l)频率ω为何值时,电路发生谐振?电路的品质因数Q 是多少?

(2)电路谐振时, UL 和UC 的有效值是多少?

73如果一个BGA 封装的CPU 芯片焊接到PCB 上后,因为焊接不良的原因导致某些信号开路,并且某些信号与旁边的信号短路,请问如何定位这两种故障,把开路和短路的信号找出来?

因为一般IC 的IO 端口都包含了类似下图所示的保护二极管电路,所以可以用数字万用表的二极管档来判断端口特性。测试方法是:正极接地,负极接需要测试的信号焊盘。如果PCB 焊盘开路,则万用表跟什么都没连接一样,读数没有任何变化,万用表显示为“1”。如果有两个以上的信号短接在一起,则万用表的读数会比测量正常的信号的读数偏小,因为有两个以上的保护二极管电路并联到一起了。

74请简述一下动圈式扬声器(喇叭)的工作原理,并画出动圈式扬声器的结构图。

工作原理:动圈式扬声器是利用电流在磁场中受到磁场力作用的原理制成的。如下图所示,绕在纸盆上的导线构成的线圈处于同心圆盘形(截面是E 形)磁铁的磁场中,放大器送出的音频电流通过线圈,纸盆在磁铁的磁场驱动下就振动起来,纸盘上的鼓膜产生音频的振动,从而使鼓膜周围的空气振动起来而产生声音。

75为何有源压电式蜂鸣器只需要接上额定直流电压即可发声?这种蜂鸣器可以接音频输出信号作为普通喇叭用吗,为什么?

有源压电式蜂鸣器内部有振荡电路(由晶体管或集成电路组成)和驱动电路,所以只需提供直流电源即可发声。又因为内部振荡电路的振荡频率是固定的,所以只能发出一种声音,不能用于普通喇叭电路。

76如下左图是有源电磁式蜂鸣器的驱动电路,右图是有源压电式蜂鸣器的驱动电路。请问为什么左图需要二极管而右图不需要,左图二极管的作用是什么?

因为电磁式蜂鸣器内部有线圈,在三极管关断的瞬间,线圈会产生一个反向的电动势(图中方向是下正上负),二极管的作用是给线圈提供一个电流的泄放通路,不至于对三极管造成损害。右图因为压电式蜂鸣器是靠压电陶瓷片的振动发声,内部没有线圈等感性原件,所以不需要放电二极管。

77请解释一下什么是Setup-Time 和Hold-Time,什么是Setup-Time 裕量和Hold-Time 裕量。

Setup-Time 和Hold-Time 是芯片对输入信号和参考时钟信号之间的时间要求。Setup-Time 是指参考时钟沿到来之前输入信号保持稳定不变的时间,Hold-Time 是指参考时钟沿过后输入信号保持稳定不变的时间。如果信号的 Setup-Time 和Hold-Time不满足要求,输入信号将不能打入触发器。如果输入信号在参考时钟沿前后稳定的时间均超过Setup-Time 和Hold-Time,那么超过量就分别被称为Setup-Time 裕量和Hold-Time 裕量。如下图,tsu 为Setup-Time,th 为Hold-Time:

78请用D 触发器画一个二分频电路。

79下图是一个传输线串联匹配的模型,假设驱动端A 的输出阻抗R0为10~20欧姆(输出高电平和输出低电平时输出阻抗不一样),传输线特征阻抗Z0等于50欧姆,请问串联匹配电阻RTs 应该如何取值?

RTs=Z0-R0,所以RTs 取30~40欧姆,可以取标称值33 欧姆。

80请分析下图三极管单管放大电路中的二极管VD1 的作用。

二极管VD1 起温度补偿作用:PN 结的导通压降随温度升高而略有下降,如果没有VD1 温度补偿二极管,放大电路会出现温漂现象,电路输出电压会出现漂移。如果没有VD1,温度升高的时候三极管的Vbe 电压降低,但Vb 不变,基极电流Ib增大;反之则温度降低,Ib 减小。加入VD1 后可抵消三极管Vbe 的变化,稳定Ib 电流。

81请问下图电路中二极管D1、D2 有什么作用?

在Vi 输入电压接近于零时,D1、D2 给三极管T1、T2 提供偏置电压,使T1、T2 维持导通,以消除交越失真。

82请画出RC 微分电路和RC 积分电路。

83请画出交流降压和桥式整流电路。

84请画出一个晶体管级的差分放大电路。

85请画出一个220V 交流电源的EMI 滤波器的基本电路图。

86下图是反激式开关电源的局部原理图,请给反激式变压器加上尖峰吸收电路。

87如图所示为恒流源电路,已知稳压管工作在稳压状态,试求负载电阻中的电流IL。

IL=6V/10K=0.6mA

88请画出运算放大器构成的反相放大器、同相放大器、电压跟随器、反相加法器、减法器、微分器和积分器电路。

89下图运放电路中的R1、R2 和C1 作用是什么?电路的放大倍数是多少?

R1、R2 和C1 的作用是提供1/2 的电源电压3V 作为参考电压。电路的放大倍数是-2。

90由理想运算放大器组成的晶体管电流放大系数β测试电路如图所示,设晶体管的UBE=0.7V。

91请画出您做过的一个四层以上PCB 的叠层结构。

92请用三极管、稳压二极管、电阻、电容粗略地画出一个简单的线性稳压电源原理图。

93请画出DC-DC 电路的四种电路简图(Buck、Boost、Buck-Boost 和Inverter)。

94请问以下电路中的R1、R2 和C1 的作用是什么?

R1、R2 用于调整输出电压。C1 是相位补偿电容,有加速稳定输出电压的作用。

95下图为一个LCD 的背光驱动电路,请问这是升压电路还是降压电路?这是恒压驱动型电路还是恒流驱动型电路?如果需要调整背光的亮度,则需要调整哪个元件的参数?

这是恒流驱动的升压电路,可通过调整FB 引脚的对地电阻R1 来调整输出电流,从而调整LED 的亮度,输出电流Iled=Vfb/Rfb。通过调整EN 引脚的开关波形也可以调整LED 的亮度,比如EN 引脚输入大于50Hz(小于50Hz 人眼会感到LED在闪烁)的PWM 信号,改变PWM 信号的占空比即可调整LED 的亮度。

96分析下列时序电路,画出连续4 个CP 脉冲作用下,Q1,Q2,Z 的输出波形,说明是几进制计数器,有否自启动功能。

输出波形如下:

该电路是三进制计数器,无自启动功能。

97下图是某直流电源的输入电路,请分析图中每个元器件的作用。请问稳压管Z1 可以放在保险丝F1 之前吗?为什么?

J3 是输入插座,用于连接输入电源。VD1 是防止反接的二极管,要选反向击穿电压大,正向导通电流大,导通压降小的整流二极管,比如肖特基势垒整流二极管。F1 是限流的保险丝,最好选择有可恢复的,比如自恢复PTC 保险丝。C104、C107 是0.1uF 的滤波电容,和L8 一起组成一个π型滤波电路,滤除高频噪声。L8 是60Ω@100MHz 的磁珠,用于滤除高频干扰,同时起到一定的限流作用。E18 是22uF 的B 型钽电容,用于滤除电源中的低频噪声。Z1 是18V/0.5W 的稳压二极管,防止输出电压大于18V。Z1 不能放在F1 之前,因为大多数稳压二极管失效之后是短路状态,这样输入电压VDC_IN 经过VD1 被短路到地,没有起到保护输入电压的作用。

98一块多层PCB 在TOP 层铺了一圈有开口的接地铜箔,左上角有三个接地孔,在左上角靠近铜箔的地方有一个22.894MHz 的辐射干扰源。对这个PCB 做22MHz 电磁场强度扫描,测量结果是TOP 层的接地铜箔辐射超标。请问这个PCB 的TOP 层接地有什么不妥的地方?应该如何改正?

TOP 层的接地处理不当,导致接地环路产生了天线效应,从22.894MHz 干扰源接收了电磁干扰信号,同时又作为发射天线向外辐射能量。

改进建议有:

1)如果这个接地铜箔不是很必要的话,可以删除;

2)沿着这个铜箔,每隔1~2cm 打过孔到内层接地。

99请将下面的DATASHEET 内容翻译成中文。

This manual describes SAMSUNG's S3C2410A 16/32-bit RISC microprocessor. This product isdesigned to provide hand-held devices and general applications with cost-effective, low-power, andhigh-performance micro-controller solution in small die size. To reduce total system cost, the S3C2410Aincludes the following components separate 16KB Instruction and 16KB Data Cache, MMU to handle virtualmemory management, LCD Controller (STN & TFT), NAND Flash Boot Loader, System Manager (chipselect logic and SDRAM Controller), 3-ch UART, 4-ch DMA, 4-ch Timers with PWM, I/O Ports, RTC, 8-ch10-bit ADC and Touch Screen Interface, IIC-BUS Interface, IIS-BUS Interface, USB Host, USB Device, SDHost & Multi-Media Card Interface, 2-ch SPI and PLL for clock generation.

参考翻译:

这是一份描述三星的S3C2410A 16/32 位RISC 处理器的使用手册。这个产品为手持设备和一般应用提供了一个低成本、低功耗、高性能的小型微控制器解决方案。为了降低整个系统的成本,S3C2410A 集成了以下部件:独立的16KB 指令缓冲区和16KB数据缓冲区、负责虚拟内存管理的MMU(内存管理单元)、LCD 驱动器(支持STN 和TFT)、NAND FLASH 引导加载器、系统管理器(片选逻辑和SDRAM 控制器)、3 个通道的UART、4 个通道的DMA、4 个通道的PWM 定时器、IO 端口、RTC 实时时钟、8 通道10位ADC 和触摸屏接口、IIC 总线接口、IIS 总线接口、USB 主控制器、USB 设备控制器、SD 主卡和MMC 卡接口、2 个通道的SPI 及PLL 时钟锁相环。

100将下面的内容翻译成中文。

This is the battery backup input that powers the SRAM and RTC when main power is removed. Typicalcurrent draw is 15uA. Without an external backup battery, the module/engine board will execute a cold starafter every turn on. To achieve the faster start-up offered by a hot or warm start, a battery backup must beconnected. The battery voltage should be between 2.0v and 5.0v.

参考翻译:

这是一个在主电源被移走时给SRAM及RTC供电的电池备份输入,典型的耗电流为15uA。当没有这个外部备用电源时,模块/引擎板在每次开机的时候都执行冷启动。为了提高启动时间,启动时马上进入温启动或热启动,这个备用电池是必须连接的。备用电池的电压应为2.0V到5.0V。

责任编辑:xj

原文标题:硬件工程师的面试题汇总

文章出处:【微信公众号:STM32嵌入式开发】欢迎添加关注!文章转载请注明出处。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 电阻
    +关注

    关注

    85

    文章

    5024

    浏览量

    169543
  • 电路图
    +关注

    关注

    10207

    文章

    10644

    浏览量

    509696
  • 电容
    +关注

    关注

    98

    文章

    5579

    浏览量

    147208
  • 硬件工程师
    +关注

    关注

    166

    文章

    346

    浏览量

    73741

原文标题:硬件工程师的面试题汇总

文章出处:【微信号:c-stm32,微信公众号:STM32嵌入式开发】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    北漂35岁男硬件工程师的第3652天,都发生了什么?

    大家好,我是硬件花园,一名乐于分享的硬件工程师。关注我,了解更多精彩内容! 这是他来北京的第3652天,也是他从事硬件工程师工作的第3652
    的头像 发表于 12-18 17:47 1182次阅读
    北漂35岁男<b class='flag-5'>硬件</b><b class='flag-5'>工程师</b>的第3652天,都发生了什么?

    硬件工程师必备的音频功放电路大全

    硬件工程师必备的音频功放电路大全
    的头像 发表于 12-07 17:25 549次阅读
    <b class='flag-5'>硬件</b><b class='flag-5'>工程师</b>必备的音频功放电路大全

    硬件工程师经典面试题详解

    硬件工程师经典面试题详解
    的头像 发表于 11-20 15:08 723次阅读
    <b class='flag-5'>硬件</b><b class='flag-5'>工程师</b>经典面试题详解

    硬件工程师提高能力应该从哪些方面入手

    大家好,我是硬件花园,一名乐于分享的硬件工程师。关注我,了解更多精彩内容! 硬件工程师在职场中提高能力应该从哪些方面入手?我想这个问题是每位
    的头像 发表于 10-20 08:44 1939次阅读

    硬件工程师就业前景和未来发展方向

    大家好,我是硬件花园,一名乐于分享的硬件工程师。关注我,了解更多精彩内容! 硬件工程师的就业前景和发展方向有很多,以下是我整理出来的一些常见
    的头像 发表于 10-20 08:43 1960次阅读

    写给初级硬件工程师的十点忠告

    1 前言 最近有感而发,想对电子信息类毕业生和准备从事硬件行业的初学者、初级硬件工程师分享十点忠告。 都说硬件工程师越老越吃香,到底是不是这
    的头像 发表于 10-18 08:36 1290次阅读
    写给初级<b class='flag-5'>硬件</b><b class='flag-5'>工程师</b>的十点忠告

    写给初级硬件工程师的十点忠告

    1 前言 最近有感而发,想对电子信息类毕业生和准备从事硬件行业的初学者、初级硬件工程师分享十点忠告。 都说硬件工程师越老越吃香,到底是不是这
    的头像 发表于 09-25 09:05 1182次阅读

    写给初级硬件工程师的十点忠告

    1 前言 最近有感而发,想对电子信息类毕业生和准备从事硬件行业的初学者、初级硬件工程师分享十点忠告。都说硬件工程师越老越吃香,到底是不是这样
    的头像 发表于 09-20 08:47 1216次阅读

    硬件工程师“卷王”的效率是怎样炼成的

    “卷王本王”;且他负责的项目,基本上都能准时结案,猜猜他的秘诀是什么~本期人物:Nick硬件工程师15年从业经验成功研发量产项目:接近开关普通电感1倍距离2倍距离系
    的头像 发表于 08-15 10:09 416次阅读
    <b class='flag-5'>硬件</b><b class='flag-5'>工程师</b>“卷王”的效率是怎样炼成的

    硬件工程师还有前途吗?

    摘要 :硬件要做得好,周期比软件长,而且一旦你在某个领域行业里积累了一定的经验是非常吃香的,硬件不是个吃学历的职业,非常吃经验。 一、做硬件工程师还有前途吗? 你认同做
    的头像 发表于 06-27 08:45 2959次阅读
    做<b class='flag-5'>硬件</b><b class='flag-5'>工程师</b>还有前途吗?

    硬件工程师前途到底怎样?看看大佬怎么说

    一位项目经理带着一名硬件工程师和一名软件工程师一同坐车去参加研讨会,结果汽车在半路抛锚,于是三人 就“如何修理汽车” 展开了激烈的讨论。   硬件
    的头像 发表于 05-17 12:10 627次阅读
    <b class='flag-5'>硬件</b><b class='flag-5'>工程师</b>前途到底怎样?看看大佬怎么说

    硬件工程师必杀技之7种武器

    对于刚刚毕业的学生们来说,选硬件还是写软件,可能一直是个比较纠结的问题。硬件工程师似乎从来不像软件工程师那么风光。大家一想到搞硬件的,可能马
    的头像 发表于 05-11 17:30 394次阅读
    <b class='flag-5'>硬件</b><b class='flag-5'>工程师</b>必杀技之7种武器

    从技术方面如何判断硬件工程师的水平?

    公司新入职了3个硬件工程师,想考察一下技术实力,单从技术方面如何判断硬件工程师的水平?   顺便说一下行业,机器人行业,目前所涉及工作为四轮驱动的机器人底盘、机器人工作执行端、桁架工作
    的头像 发表于 05-11 10:16 419次阅读
    从技术方面如何判断<b class='flag-5'>硬件</b><b class='flag-5'>工程师</b>的水平?

    超值干货 硬件工程师面试快问快答

    你感受到来自各行各业的寒意了吗?   硬件工程师 ,如何在如今经济环境下安稳过冬或者逆流而上呢?硬件花园觉着不断锤炼自身的技术功底是必不可少的。而且掌握的技术不仅仅要有广度,还得追求深度! 结合
    的头像 发表于 04-20 16:15 1345次阅读

    硬件工程师设计出错了,飞线究竟有多酸爽

    大家好,我是硬件花园。 做硬件工程师这么多年了,现在设计电路也不敢保证一版成功。一般来说,第一版都是实验板了。第二版才算成熟版。当犯了低级失误时,这体验真的是太酸爽了!硬件还不像软件,
    的头像 发表于 04-20 03:01 1855次阅读