0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

浅谈DDR3的走线设计

电子设计 来源:一博科技 作者:肖勇超 2021-04-09 09:47 次阅读

DDR3的设计有着严格等长要求,归结起来分为两类(以64位的DDR3为例): 数据 (DQ,DQS,DQM):组内等长,误差控制在20MIL以内,组间不需要考虑等长;地址、控制、时钟信号:地址、控制信号以时钟作参考,误差控制在100MIL以内,Address、Control与CLK归为一组,因为Address、Control是以CLK的下降沿触发的由DDR控制器输出,DDR颗粒由CLK的上升沿锁存Address、Control总线上的状态,所以需要严格控制CLK与Address/Command、Control之间的时序关系,确保DDR颗粒能够获得足够的建立和保持时间。

关注等长的目的就是为了等时,绕等长时需要注意以下几点:

1.确认芯片是否有Pin-delay,绕线时要确保Pin-delay开关已经打开;

2.同组信号走在同层,保证不会因换层影响实际的等时;同样的换层结构,换层前后的等长要匹配,即时等长;不同层的传播延时需要考虑,如走在表层与走在内层,其传播速度是不一样的,所以在走线的时候需要考虑,表层走线尽量短,让其差别尽量小(这也是为什么Intel的很多GUIDE上面要求,表层的走线长度不超过250MIL等要求的原因);

3. Z轴的延时:在严格要求的情况下,需要把Z轴的延时开关也打开,做等长时需要考虑(ALLEGRO中层叠需要设置好,Z轴延时才是对的)。

4.蛇形绕线时单线按3W,差分按5W绕线(W为线宽)。且保证各BUS信号组内间距按3H, 不同组组间间距为5H (H为到主参考平面间距),DQS和CLK 距离其他信号间距做到5H以上。单线和差分绕线方式如下图1所示:

pIYBAGBvsW2AL5onAALb1CHXuDQ560.png

图1.单线和差分绕线方式示例

而另一个核心重点便是电源处理。DDR3中有三类电源,它们是VDD(1.5V)、VTT(0.75V)、VREF(0.75V,包括VREFCA和VREFDQ)。

1. VDD(1.5V)电源是DDR3的核心电源,其引脚分布比较散,且电流相对会比较大,需要在电源平面分配一个区域给VDD(1.5V);VDD的容差要求是5%,详细在JEDEC里有叙述。通过电源层的平面电容和专用的一定数量的去耦电容,可以做到电源完整性。VDD电源平面处理如下图2所示:

o4YBAGBvsYGAUB2nAAWU5KFLXvk818.png

图2:VDD电源处理

2. VTT电源,它不仅有严格的容差性,而且还有很大的瞬间电流;可以通过增加去耦电容来实现它的目标阻抗匹配;由于VTT是集中在终端的上拉电阻处,不是很分散,且对电流有一定的要求,在处理VTT电源时,一般是在元件面同层通过铺铜直接连接,铜皮要有一定宽度(120MIl)。VTT电源处理如图3所示:

o4YBAGBvsZGATe_cAAPVY-SZRqg501.png

图3:VTT电源

3.VREF电源 。 VREF要求更加严格的容差性,但是它承载的电流比较小。它不需要非常宽的走线,且通过一两个去耦电容就可以达到目标阻抗的要求。DDR3的VERF电源已经分为VREFCA和VREFDQ两部分,且每个DDR3颗粒都有单独的VREFCA和VREFDQ,因其相对比较独立,电流也不大,布线处理时也建议用与器件同层的铜皮或走线直接连接,无须在电源平面层为其分配电源。注意铺铜或走线时,要先经过电容再接到芯片的电源引脚,不要从分压电阻那里直接接到芯片的电源引脚。VREF电源处理如图4所示:

11-04.jpg

图4:VREF电源

滤波电容的FANOUT 小电容尽量靠近相应的电源引脚,电容的引线也要尽量短,并减少电源或地共用过孔;

11-05.jpg

图5 : 小滤波电容的Fanout

Bulk电容的FANOUT

电源的Bulk电容一般在设计中起到的是储能滤波的作用,在做Fanout时要多打孔,建议2个孔以上,电容越大需要过孔越多,也可以用铺铜的形式来做。电容的电源孔和地孔尽量靠近打,如图6所示。

11-06.jpg

图6:储能电容的Fanout

综上所述,我们常规DDR3的走线设计总结如下表:

11-07.jpg

编辑:hfy

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • DDR3
    +关注

    关注

    2

    文章

    268

    浏览量

    41782
  • 滤波电容
    +关注

    关注

    8

    文章

    435

    浏览量

    39766
  • 控制信号
    +关注

    关注

    0

    文章

    118

    浏览量

    11835
  • 时钟信号
    +关注

    关注

    4

    文章

    371

    浏览量

    28057
  • Bulk
    +关注

    关注

    0

    文章

    8

    浏览量

    8605
收藏 人收藏

    评论

    相关推荐

    DDR3存储厂迎涨价商机 华邦、钰创、晶豪科等订单涌进

    法人方面解释说:“标准型dram和nand目前由三星、sk hynix、美光等跨国企业主导,因此,中台湾企业在半导体制造方面无法与之抗衡。”在ddr3 ddr3的情况下,台湾制造企业表现出强势。ddr3的价格也随之上涨,给台湾半
    的头像 发表于 11-14 11:29 450次阅读

    DDR4和DDR3内存都有哪些区别?

    DDR4和DDR3内存都有哪些区别? 随着计算机的日益发展,内存也越来越重要。DDR3DDR4是两种用于计算机内存的标准。随着DDR4内存
    的头像 发表于 10-30 09:22 5233次阅读

    阐述DDR3读写分离的方法

    DDR3是2007年推出的,预计2022年DDR3的市场份额将降至8%或以下。但原理都是一样的,DDR3的读写分离作为DDR最基本也是最常用的部分,本文主要阐述
    的头像 发表于 10-18 16:03 576次阅读
    阐述<b class='flag-5'>DDR3</b>读写分离的方法

    DDR3的规格书解读

    以MT41J128M型号为举例:128Mbit=16Mbit*8banks 该DDR是个8bit的DDR3,每个bank的大小为16Mbit,一共有8个bank。
    发表于 09-15 15:30 732次阅读
    <b class='flag-5'>DDR3</b>的规格书解读

    基于FPGA的DDR3读写测试

    本文介绍一个FPGA开源项目:DDR3读写。该工程基于MIG控制器IP核对FPGA DDR3实现读写操作。
    的头像 发表于 09-01 16:23 846次阅读
    基于FPGA的<b class='flag-5'>DDR3</b>读写测试

    基于AXI总线的DDR3读写测试

    本文开源一个FPGA项目:基于AXI总线的DDR3读写。之前的一篇文章介绍了DDR3简单用户接口的读写方式:《DDR3读写测试》,如果在某些项目中,我们需要把DDR挂载到AXI总线上,
    的头像 发表于 09-01 16:20 2268次阅读
    基于AXI总线的<b class='flag-5'>DDR3</b>读写测试

    47 29A DDR3原理与应用简介 - 第7节

    DDR3
    充八万
    发布于 :2023年08月19日 13:56:54

    47 29A DDR3原理与应用简介 - 第6节 #硬声创作季

    DDR3
    充八万
    发布于 :2023年08月19日 13:56:04

    47 29A DDR3原理与应用简介 - 第5节 #硬声创作季

    DDR3
    充八万
    发布于 :2023年08月19日 13:55:13

    47 29A DDR3原理与应用简介 - 第4节 #硬声创作季

    DDR3
    充八万
    发布于 :2023年08月19日 13:54:23

    47 29A DDR3原理与应用简介 - 第3节 #硬声创作季

    DDR3
    充八万
    发布于 :2023年08月19日 13:53:33

    47 29A DDR3原理与应用简介 - 第2节

    DDR3
    充八万
    发布于 :2023年08月19日 13:52:43

    xilinx平台DDR3设计教程之设计篇_中文版教程3

    xilinx平台DDR3设计教程之设计篇_中文版教程3
    发表于 08-05 18:39

    PI2DDR3212和PI3DDR4212在DDR3/DDR4中应用

    电子发烧友网站提供《PI2DDR3212和PI3DDR4212在DDR3/DDR4中应用.pdf》资料免费下载
    发表于 07-24 09:50 3次下载
    PI2<b class='flag-5'>DDR</b>3212和PI3<b class='flag-5'>DDR</b>4212在<b class='flag-5'>DDR3</b>/<b class='flag-5'>DDR</b>4中应用

    关于DDR3设计思路分享

    DDR3的速度较高,如果控制芯片封装较大,则不同pin脚对应的时延差异较大,必须进行pin delay时序补偿。
    发表于 07-04 09:25 358次阅读
    关于<b class='flag-5'>DDR3</b>设计思路分享