0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

AXI4-Lite总线信号

OpenFPGA 来源:OpenFPGA 作者:OpenFPGA 2020-10-30 17:10 次阅读

在《AXI-Lite 自定义IP》章节基础上,添加ilavio等调试ip,完成后的BD如下图:

图4‑53 添加测试信号

加载到SDK,并且在Vivado中连接到开发板。

Trigger Setup,点击“+”,选择 AXI_WVALID,双击添加。设置 Radix 为 B,触发条件 Value 为 1。

图4‑54 添加信号

设置触发位置为 512

图4‑55 设置触发位置

单击运行按钮,启动触发,进入等待触发状态。

图4‑56 等待触发

单击 SDK 中的运行按钮后, VIVADO 中 HW_ILA2 窗口采集到波形输出,可以看到 AXI 总线的工作时序。

SDK中 mian.c 程序功能是向 AXI4 总线写入 1~4,再从 AXI4 总线读数据,从上面对未修改直接封装的 IP 分析,可以读出的数据应等于写入的数据。

从波形图可以看出,写入的数据是 1、 2、 3、 4,对应基地址的偏移地址是 0、 4、 8、 12。

图4‑57 仿真结果

责任编辑:xj

原文标题:观察 AXI4-Lite 总线信号

文章出处:【微信公众号:OpenFPGA】欢迎添加关注!文章转载请注明出处。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 总线
    +关注

    关注

    10

    文章

    2702

    浏览量

    87203
  • AXI
    AXI
    +关注

    关注

    1

    文章

    126

    浏览量

    16284

原文标题:观察 AXI4-Lite 总线信号

文章出处:【微信号:Open_FPGA,微信公众号:OpenFPGA】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    FPGA通过AXI总线读写DDR3实现方式

    AXI总线由一些核心组成,包括AXI主处理器接口(AXI4)、AXI处理器到协处理器接口(AXI4-Li
    发表于 04-18 11:41 90次阅读

    ZYNQ的ARM和FPGA数据交互——AXI交互最重要的细节

    。 ●AXI4: 主要面向高性能地址映射通信的需求,允许最大256轮的数据突发传输。 ●AXI4-Lite: 是一个轻量级的,适用于吞吐量较小的地址映射通信总线,占用较少的逻辑资源
    发表于 11-03 10:51

    AXI传输数据的过程

    AXI4为例,有AXI full/lite/stream之分。 在Xilinx系列FPGA及其有关IP核中,经常见到AXI总线接口,
    的头像 发表于 10-31 15:37 462次阅读
    <b class='flag-5'>AXI</b>传输数据的过程

    ARM处理器中有些总线APB AHB AXI 3 AXI 4有什么不同?

    ARM处理器中有些总线APB AHB AXI 3 AXI 4,他们的有什么不同,各自作用?
    发表于 10-24 07:16

    AXI时基看门狗定时器(WDT)概述

    XilinxLogiCORE IP AXI4-Lite时基看门狗定时器(WDT)是一个32位外设,提供32位自由运行时基和看门狗定时器。
    的头像 发表于 10-16 11:10 612次阅读
    <b class='flag-5'>AXI</b>时基看门狗定时器(WDT)概述

    LogiCORE IP AXI UART 16550内核简介

    LogiCORE IP AXI 通用异步接收发送器 (UART) 16550 连接到高级微控制器总线架构 (AMBA) AXI,为异步串行数据传输提供控制器接口。该软 IP 核旨在通过 AXI
    的头像 发表于 10-16 11:02 2112次阅读
    LogiCORE IP <b class='flag-5'>AXI</b> UART 16550内核简介

    LogiCORE JTAG至AXI Master IP核简介

    中的一个参数来选择。 集成设计环境(IDE)。AXI数据总线的宽度可定制。该IP可通过AXI4互连驱动AXI4-LiteAXI4内存映射从
    的头像 发表于 10-16 10:12 471次阅读
    LogiCORE JTAG至<b class='flag-5'>AXI</b> Master IP核简介

    如何把ICB总线转为AXI

    现在我要用block design搭建SOC,需要将总线转为AXI。按照论坛中的帖子,将e203_subsys_mems模块中的sirv_gnrl_icb2axi模块放到system层中,然后声明
    发表于 08-12 06:12

    AMBA 4 AXI4AXI4-LiteAXI4-流协议断言用户指南

    您可以将协议断言与任何旨在实现AMBA®4 AXI4的接口一起使用™, AXI4 Lite™, 或AXI4流™ 协议通过一系列断言根据协议检
    发表于 08-10 06:39

    ARM CoreLink AXI4至AHB Lite XHB-400桥接技术参考手册

    XHB将AXI4协议转换为AHB-Lite协议,并具有AXI4从接口和AHB-Lite主接口。有关AXI4事务如何通过XHB桥接到AHB-
    发表于 08-02 06:51

    AXI实战(二)-AXI-Lite的Slave实现介绍

    可以看到,在AXI到UART中,是通过寄存器和FIFO进行中介的。因为从AXI总线往里看,其控制的是就是地址上所映射的寄存器。
    的头像 发表于 06-27 10:12 2453次阅读
    <b class='flag-5'>AXI</b>实战(二)-<b class='flag-5'>AXI-Lite</b>的Slave实现介绍

    自定义AXI-Lite接口的IP及源码分析

    在 Vivado 中自定义 AXI4-Lite 接口的 IP,实现一个简单的 LED 控制功能,并将其挂载到 AXI Interconnect 总线互联结构上,通过 ZYNQ 主机控制,后面对 Xilinx 提供的整个
    发表于 06-25 16:31 2035次阅读
    自定义<b class='flag-5'>AXI-Lite</b>接口的IP及源码分析

    Xilinx FPGA AXI4总线(一)介绍【AXI4】【AXI4-Lite】【AXI-Stream】

    从 FPGA 应用角度看看 AMBA 总线中的 AXI4 总线
    发表于 06-21 15:21 1869次阅读
    Xilinx FPGA <b class='flag-5'>AXI</b>4<b class='flag-5'>总线</b>(一)介绍【<b class='flag-5'>AXI</b>4】【<b class='flag-5'>AXI4-Lite</b>】【<b class='flag-5'>AXI</b>-Stream】

    AXI4-Lite协议简明学习笔记

    AXI4协议是ARM的AMBA总线协议重要部分,ARM介绍AXI4总线协议是一种性能高,带宽高,延迟低的总线协议。
    发表于 06-19 11:17 2296次阅读
    <b class='flag-5'>AXI4-Lite</b>协议简明学习笔记

    AXI总线工作流程

    在zynq开发过程中,AXI总线经常遇到,每次看到AXI总线相关的信号时都一头雾水,仔细研究一下,将信号
    的头像 发表于 05-25 11:22 614次阅读
    <b class='flag-5'>AXI</b><b class='flag-5'>总线</b>工作流程