0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

新思科技与TSMC合作为封装解决方案提供经认证的设计流程

工程师 来源:新思科技 作者:新思科技 2020-10-14 11:11 次阅读

重点

● TSMC认证基于新思科技3DIC Compiler统一平台的CoWoS®和InFO设计流程

● 3DIC Compiler可提高先进封装设计生产率

● 集成Ansys芯片封装协同分析解决方案,可实现可靠的签核和设计实时分析

新思科技(Synopsys)近日宣布与TSMC合作,为先进封装解决方案提供经认证的设计流程。这些解决方案使用新思科技3DIC Compiler产品,进行CoWoS®-S (基于硅中介层的CoWoS)和InFO-R(基于高密度晶圆级RDL InFO)设计。3DIC Compiler为当今高性能计算、汽车电子和移动产品等应用场景所需的复杂多裸片系统提供了封装设计解决方案。

人工智能5G网络等应用对高集成度、低功耗、小尺寸和快速产出的要求不断提升,推动了对先进封装技术的需求。TSMC创新的3DIC技术,例如CoWoS®和InFO,以极具竞争力的成本为客户提供更强的功能和更高的系统性能,协助客户实现创新。我们与新思科技合作,为使用TSMC CoWoS®和InFO封装技术的客户提供经认证的解决方案,协助其高效快速完成功能化产品。”

—— Suk Lee

设计基础设施管理部门资深部长

TSMC

新思科技3DIC Compiler解决方案提供了统一的芯片封装协同设计和分析环境,可在封装中创建最佳的2.5D/3D多裸片系统。该解决方案包括TSMC设计宏单元(MACRO)支持以及基于CoWoS®技术的高密度中介层连接器自动布线等功能。对于基于RDL的InFO设计,通过自动DRC感知、全角度多层信号电源/接地布线、电源/接地层创建和虚拟金属插入,以及对TSMC设计宏单元的支持,可将计划时间从数月缩短到几周。

对于CoWoS-S和InFO-R设计,需要在封装和整个系统的背景下分析裸片。裸片感知封装和封装感知裸片的电源完整性、信号完整性和热分析对于设计验证和签核至关重要。将Ansys的RedHawk™系列芯片封装协同分析解决方案集成到3DIC Compiler可以满足这一关键需求,从而实现无缝分析,更快地收敛到最佳解决方案。通过优化设计冗余,客户可以实现更小尺寸的设计和更高的性能。

责任编辑:haq

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 芯片
    +关注

    关注

    446

    文章

    47705

    浏览量

    408872
  • 解决方案
    +关注

    关注

    0

    文章

    497

    浏览量

    39992
  • 人工智能
    +关注

    关注

    1775

    文章

    43716

    浏览量

    230495
  • 5G
    5G
    +关注

    关注

    1340

    文章

    47793

    浏览量

    553870
收藏 人收藏

    评论

    相关推荐

    思科技与英特尔深化合作加速先进芯片设计

    近日,新思科技与英特尔宣布深化合作,共同加速先进芯片设计的步伐。据悉,新思科技的人工智能驱动的数字和模拟设计流程已经成功通过英特尔代工的Intel 18A工艺
    的头像 发表于 03-06 10:33 226次阅读

    思科技与英特尔深化合作,以新思科技IP和经Intel 18A工艺认证的EDA流程加速先进芯片设计

     芯片制造商与EDA解决方案和广泛的IP组合紧密合作, 能够提升产品性能并加快上市时间 摘要: 新思科技数字和模拟EDA流程经过认证和优化,
    发表于 03-05 10:16 100次阅读

    思科技携手合作伙伴开发针对台积公司N4P工艺的射频设计参考流程

    (RF)设计和接口IP五项大奖。新思科技与台积公司长期稳固合作,持续提供经过验证的解决方案,包括由Synopsys.ai全栈式AI驱动型EDA解决方
    的头像 发表于 11-14 10:31 396次阅读

    思科提供跨台积公司先进工艺的参考流程,助力加速模拟设计迁移

    设计质量的同时,节省数周的手动迭代时间。 新思科技可互操作工艺设计套件(iPDK)适用于台积公司所有FinFET先进工艺节点,助力开发者快速上手模拟设计。 新思科技携手Ansys 和 Keysight 共同推出全新射频设计参考流程
    发表于 10-24 11:41 216次阅读

    Cadence 荣获四项 2023 TSMC OIP 年度合作伙伴大奖

    和 IP 设计解决方案获得了 TSMC 颁发的四项 Open Innovation Platform (OIP)年度合作伙伴大奖。 这些奖项旨在表彰 Cadence 在联合开发 N2 和 N3P
    的头像 发表于 10-23 11:55 392次阅读
    Cadence 荣获四项 2023 <b class='flag-5'>TSMC</b> OIP 年度<b class='flag-5'>合作</b>伙伴大奖

    思科技携手台积公司加速2nm工艺创新,为先进SoC设计提供认证的数字和模拟设计流程

    。 Synopsys.ai™ EDA解决方案中的模拟设计迁移流程可实现台积公司跨工艺节点的快速设计迁移。 新思科技接口IP和基础IP的广泛产品组合正在开发中,将助力缩短设计周期并降低集成风险。   加利福尼亚州桑尼维尔, 202
    发表于 10-19 11:44 125次阅读

    思科技的软件质量与安全解决方案获得行业认可

      在当今数智化时代,软件已成为各行业的核心竞争力。新思科技致力于为客户提供最优质的软件产品和服务。近期,新思科技的软件质量与安全解决方案获得了包括业内权威机构和客户等多方的赞誉和认可
    的头像 发表于 10-17 10:14 720次阅读

    华大九天与九同方合作为国内射频芯片公司提供一体化EDA设计解决方案

      2023年9月19日 — 中国领先的EDA(电子设计自动化)解决方案提供商华大九天科技股份有限公司(以下简称“华大九天”)与湖北九同方微电子有限公司(以下简称“九同方”)宣布达成战略合作,共同
    的头像 发表于 09-20 09:52 581次阅读

    思科技3DIC Compiler获得三星多裸晶芯集成工艺流程认证

    思科技经认证的多裸晶芯片系统设计参考流程和安全的Die-to-Die IP解决方案,加速了三星SF 5/4/3工艺和I-Cube及X-Cube技术的设计和流片成功。 新
    的头像 发表于 09-14 09:38 869次阅读

    用于蜂窝连接的ST4SIM安全解决方案

    意法半导体的ST4SIM SIM和eSIM产品组基于基本型、加密型和GSMA SGP.02配置。我们的解决方案允许设备随时随地联网,同时确保资产安全。得益于合作伙伴提供的连接解决方案
    发表于 09-08 06:14

    FPT软件获得微软商业应用解决方案合作伙伴认证

    在与微软云相关的六个关键解决方案领域的卓越表现,包括数据与人工智能、基础设施、安全、数字与应用创新以及现代化办公。作为微软云合作伙伴计划的一部分,这一认证彰显了FPT软件在客户获取、开
    的头像 发表于 08-16 15:19 290次阅读

    全面支持Intel 16!新思科技EDA流程及IP获认证,携手推动成熟应用领域创新

    技的解决方案可在英特尔代工服务提供的制程工艺上实现安全且先进的微电子技术开发 新思科技(Synopsys)近日宣布,其搭载了Synopsys.ai全栈式AI驱动型EDA解决方案的数字和
    的头像 发表于 08-07 18:45 351次阅读

    仿真分析:3DIC全流程解决方案的第一步

    ‍ ‍ 原文标题:仿真分析:3DIC全流程解决方案的第一步 文章出处:【微信公众号:新思科技】欢迎添加关注!文章转载请注明出处。
    的头像 发表于 05-11 20:16 470次阅读
    仿真分析:3DIC全<b class='flag-5'>流程</b><b class='flag-5'>解决方案</b>的第一步

    Cadence数字和定制/模拟设计流程获得TSMC最新N3E和N2工艺技术认证

    楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布,Cadence 数字和定制/模拟设计流程已通过 TSMC N3E 和 N2 先进工艺的设计规则手册(DRM)认证。两家公司还发
    的头像 发表于 05-09 10:09 733次阅读

    Cadence发布基于Integrity 3D-IC平台的新设计流程,以支持TSMC 3Dblox™标准

    3Dblox 标准适用于在复杂系统中实现 3D 前端设计分区。通过此次最新合作,Cadence 流程优化了所有 TSMC 最新 3DFabric 供需目录上的产品,包括集成扇出(InFO)、基板
    的头像 发表于 05-09 09:42 652次阅读