0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

新思联合TSMC实现新一代芯片设计

工程师 来源:新思科技 作者:新思科技 2020-10-14 10:47 次阅读

来源:新思科技

重点

半导体市场日益增长的需求推动最先进芯片制造的发展

● 新思科技与TSMC开展广泛合作,利用新思科技全流程数字和定制设计平台,有效发挥TSMC 3nm制造技术(N3)的PPA(功耗、性能和面积)优势,同时加快产品上市时间

● 新思科技进一步强化关键产品,以支持TSMC N3制造的进阶要求

新思科技(Synopsys)近日宣布,其数字和定制设计平台已获得TSMC 3nm制造技术验证。此次验证基于TSMC的最新设计参考手册(DRM)和工艺设计工具包(PDK),是经过广泛合作与严格验证的结果。该验证旨在提供设计解决方案,在获得优化PPA性能的同时加快新一代设计的进程。

“我们与新思科技多年的合作成果显著,新思科技基于TSMC先进制造的平台解决方案协助我们的客户实现芯片创新,利用TSMC N3制造技术显著降低芯片功耗、提升芯片性能,并加速新产品上市的时间。对新思科技设计解决方案进行验证使我们的共同客户能够基于TSMC N3制造完成芯片设计,实现PPA优化。”

—— Suk Lee

设计基础设施管理部门资深部长

TSMC

通过与TSMC密切合作,新思科技开发了一系列关键的功能和新技术,从而确保从综合、布局布线到时序和物理签核在TSMC N3制造实现全流程一致性。新思科技的Fusion Compiler™ RTL-to-GDSII解决方案和IC Compiler™ II布局布线解决方案全面支持TSMC N3制造。新思科技的Design Compiler® NXT综合解决方案得到增强,让开发者能够充分利用TSMC N3技术优势,获得高质量的设计结果(QoR),并利用高精度的全新电阻电容估计方法实现与IC Compiler™ II布局布线解决方案关联的一致性。PrimeTime® 签核解决方案支持Advanced Mulit-input Switching(MIS),以实现准确的时序分析和签核收敛。此外,Design Compiler NXT支持TSMC N3制造多种工艺,以实现高性能计算和移动芯片设计。

根据TSMC N3制造技术特点,新思科技进一步增强了支持引脚密度感知布局和全局布线建模的数字设计平台,以实现更好的标准单元引脚布线收敛;协同单元放置检查和优化(CLO),以实现更快的时序收敛;通过新的单元映射(单元密度)基础架构,最大化利用空余空间来改善PPA;并通过自动生成过孔支柱(Via Pillar)和部分平行布线实现互连优化,以实现高性能设计;优化功耗感知混合驱动强度多位触发器(MBFF),以实现低功耗设计。

在新思科技定制的设计平台中增强了Custom Compiler的功能,以加快实现N3模拟芯片设计。这些功能增强是与N3早期用户(包括DesignWare ® IP团队)共同开发并验证的,可减少新设计规则和其他N3技术要求所需的工作量。新思科技HS PIC E®、FineSim®和CustomSim™仿真 解决方案有助于缩短基于TSMC N3制造技术芯片设计的时间,并为TSMC N3电路仿真和可靠性要求提供签核覆盖。

“通过与TSMC合作,为其先进的N3制造技术提供高度差异化的解决方案,使客户更有信心开始设计日益复杂的芯片,并使开发者能够充分利用先进EUV制造显著改进PPA,加快其差异化芯片的创新。”

—— Charles Matar

设计部门系统解决方案和生态系统支持

高级副总裁

新思科技

新思科技的N3技术制造文件可从TSMC获取。新思科技设计平台的关键产品获得了以下认证:

数字设计解决方案

● Fusion Compiler和IC Compiler II布局布线解决方案

签核平台

● PrimeTime时序签核

● PrimePower功耗签核

● StarRC™提取签核

● IC Validator物理签核

● NanoTime定制时序签核

● ESP-CV定制功能验证

● QuickCap® NX寄生参数场解算器

SPICE仿真和定制设计

● HSPICE,CustomSim和FineSim仿真解决方案

● CustomSim可靠性分析

● Custom Compiler™定制设计

责任编辑:haq

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 芯片
    +关注

    关注

    446

    文章

    47705

    浏览量

    408853
  • 半导体
    +关注

    关注

    327

    文章

    24431

    浏览量

    201842
  • 新思科技
    +关注

    关注

    5

    文章

    716

    浏览量

    50058
  • 3nm
    3nm
    +关注

    关注

    2

    文章

    220

    浏览量

    13697
收藏 人收藏

    评论

    相关推荐

    TSMC计划在印度建新晶圆厂:未来技术的重要布局?

    印度和TSMC能否成功合作? 尽管观察家们质疑印度吸引先进芯片制造商的能力,但这是该国决心追求的目标,我们相信最终会实现
    的头像 发表于 01-18 09:31 406次阅读

    国产六核CPU,三屏异显,赋能新一代商显

    处理器共同推出米尔MYC-YD9360核心板及开发板,赋能新一代车载智能、电力智能、工业控制、新能源、机器智能等行业发展,满足多屏的显示需求。
    发表于 12-22 18:07

    国芯科技:新一代汽车电子MCU产品“CCFC3007PT” 内部测试成功

    自主PowerPC架构C*Core CPU内核研发的新一代适用于汽车电子动力总成、底盘控制器、动力电池控制器以及高集成度域控制器等应用的多核MCU芯片,是基于客户更高算力、更高信息安全等级和更高功能
    发表于 12-20 16:56

    TI 新一代明星CPU

    是 TI 新一代明星CPU,可完美接替上一代AM335x,拥有更强劲的性能,让你的应用变得轻松自如。 AM62x处理器适用于医疗、工业HMI、自动化、电力、显控终端等众多场景。如果你正在寻找款类似的
    发表于 12-15 18:59

    华大电子和中国移动联合发布新一代超级SIM芯片

    设计、即将在江苏省首发应用的新一代超级SIM芯片产品,内置超大容量嵌入式存储,实现了更高处理性能、更快通信速率、更高安全级别,芯片产品的性能和安全等级均已达到国际先进水准。
    的头像 发表于 12-07 09:28 361次阅读

    跟随华秋 走进新一代产业园电子电路主题展

    ,电子信息制造业规模以上企业营业收入突破24万亿元。为贯彻落实《方案》精神,深圳新一代产业园积极组织了园区企业-华秋,开展了电子电路主题展,并邀请党内群众学习。本次主题展也得到了相关领导的认可和肯定
    发表于 10-27 11:15

    华秋带您走进新一代产业园电子电路主题展

    ,电子信息制造业规模以上企业营业收入突破24万亿元。为贯彻落实《方案》精神,深圳新一代产业园积极组织了园区企业-华秋,开展了电子电路主题展,并邀请党内群众学习。本次主题展也得到了相关领导的认可和肯定
    发表于 10-27 11:12

    Cadence 定制/模拟设计迁移流程加速 TSMC 先进制程技术的采用

    ● AI 驱动的 Cadence Virtuoso Studio 助力 IC 设计在 TSMC 的制程技术之间实现迁移时自动优化电路 ●  新的生成式设计技术可将设计迁移时间缩短
    的头像 发表于 09-27 10:10 339次阅读

    Cadence扩大TSMC N3E制程IP产品组合,推出新一代224G-LR SerDes IP,助力超大规模SoC设计

    ●  112G-ELR SerDes 在 TSMC N3E 制程上的硅结果实现了最佳 PPA ●  多个 Cadence IP 测试芯片TSMC N3E 制程上成功流片,包括 P
    的头像 发表于 09-26 10:10 352次阅读

    第四北斗芯片发布

    在4月26日召开的第十三届中国卫星导航年会(CSNC2022)上,深圳华大北斗科技股份有限公司研发的第四北斗芯片正式发布。 这是款拥有完全自主知识产权的国产基带和射频体化So
    发表于 09-21 09:52

    新一代人造太阳”“中国环流三号”托卡马克装置

    ,被称为“新一代人造太阳”的“中国环流三号”托卡马克装置,于8月25日首次实现100万安培等离子体电流下的高约束模式运行。这重大进展再次刷新我国磁约束聚变装置运行纪录,标志着我国磁约束核聚变研究向高性能
    发表于 09-07 10:39

    STM32U599平衡图显性能与功耗的新一代产品

    STM32U599平衡图显性能与功耗的新一代产品,内容包含: STM32U5x9 的高性能与高阶图形加速器 、STM32U5的矢量图形 、STM32U5x9 的低功耗设计 、LPBAM - sensor hub等。
    发表于 09-05 07:21

    华为海麒麟9000s是处于什么水平的处理器?

      华为海麒麟9000s是款旗舰级处理器,采用了5nm工艺制程,是目前华为公司最强大的芯片。该芯片主要应用于华为Mate40系列手机
    发表于 08-31 09:34

    Cadence发布基于Integrity 3D-IC平台的新设计流程,以支持TSMC 3Dblox™标准

    3Dblox 标准适用于在复杂系统中实现 3D 前端设计分区。通过此次最新合作,Cadence 流程优化了所有 TSMC 最新 3DFabric 供需目录上的产品,包括集成扇出(InFO)、基板
    的头像 发表于 05-09 09:42 652次阅读