0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

以26位分频器工程实例为蓝本演示工程建立的细节及注意事项

电子工程师 来源:FPGA设计论坛 作者:FPGA设计论坛 2020-09-28 11:35 次阅读

一、概述
本文以简单的26位分频器工程实例为蓝本,从头至尾演示工程建立的所有细节及注意事项,以便新手用户快速掌握QuartusII的入门操作知识
二、声明
本文以ALTERA QuartusII11.0版本进行演示,虽然是针对CPLD EPM240T100开发平台所做的入门指导书,但这些流程对于FPGA设计是完全通用的。另外,本文在行文时描述的QuartusII步骤操作,均使用菜单方式,事实上,大多数操作可以直接使用工具栏上的快捷按钮,读者可自行熟悉,执行的结果与菜单操作都是一致的
三、基本思路
工程实例建立的基本步骤如下:
(1)工程建立:建立与您的开发平台相对应的工程,比如您的开发平台是EPM3064,则后续的工程开发也应该基于该芯片进行
(2)逻辑设计:这里包括很多设计手段,如AHDL、VHDL、Verilog HDL、原理图等等 ,由于本文讲的是QuartusII设计流程,不会过多关注此步骤
(3)逻辑综合:逻辑综合用于宏观上您设计的逻辑判断是否有错,同时分析出逻辑设计中的IO引脚,以便后续进行IO引脚的分配
(4)引脚分配:将逻辑设计中的IO分配到实际器件中的IO引脚
(5)逻辑编译:全编译工程逻辑,并生成可用于下载的烧录文件
(6)逻辑下载:将逻辑下载到实际的开发平台中进行验证或使用
四、工程建立
下面我们来详细描述一个实际工程是如何建立的:
(1)打开QuartusII后,初始界面应如下图所示:

(2)选择菜单【File】→【New Project Wizard…】后,即可弹出如下图所示的新工程向导对话框:

设置工程目录位于D:/demo,并将工程名命名为“demo”,同时软件会自动将顶层设计模块名填充为“demo”,因为QuartusII的工程名必须与顶层设计文件的模块名一致,否则编译将出错
(3)选择【Next >】后,即可进入如下图所示的添加文件页表。此页表适用于源码文件已经准备完好的情况,这样直接添加源文件即可将其加入当前工程。本文为了更详细展示设计流程,重新建立源码文件,不进行添加操作。

(4)选择【Next >】后,即可进入如下图所示的器件选择页表项。您的开发平台是什么芯片型号,就在此页表选择相对应的器件型号,如果选择的器件型号与您实际使用的芯片型号不一致,在逻辑下载步骤时将会出错(如果你手头没有开发板,只是为了学习HDL语言或熟悉软件,随意选择一个资源多点的就可以,防止因为HDL逻辑规模太大资源不够用而出现编译错误)。
由于ALTERA的器件非常多,因此QuartusII提供一些过滤选项,以协助我们快速找到对应的器件。本文的开发平台芯片型号为“EPM240T100I5N”,该芯片为MAXII系列(Family),封装(Package)为“TQFP”,引脚数量(Pin count)为“100”,速度等级(Speed grade)为“5”,如下图所示:(对于EPM3032/3064或FPGA也是同样的方法,如果您按照此对话框设置后,没有找到您需要的器件型号,说明您可能没有安装该芯片对应的器件库)

对于本工程实例,设置好器件型号后,就可以直接选择【Finish】即可进入“第五节逻辑设计”即可,但如果您想了解其它页表项,可继续往下阅读。
(5)选择【Next >】后即可进入EDA工具设置页表项。QuartusII支持很多第三方工具,比如综合工具、仿真工具等等,第三工具通常在某一方面更为专业,特别是仿真工具,QuartusII已经不再提供仿真功能,只能用第三方仿真工具,如Modelsim来进行仿真。
由于我们的实例比较简单,且仅注重开发流程,因此我们对此步骤不做调整(后续我们将详述)

(6)选择【Next >】后,即可进行进入如下图所示的总结(Summary)页表,这里简要显示了您之前所做的所有设置,如果没有问题的话,选择【Finish】即可

五、逻辑设计
工程建立完成后,就可以开始着手进行逻辑的设计了
(1)选择菜单【File】→【New…】即可弹出如下图所示的新建(New)对话框,QuartusII支持很多的逻辑设计输入方式,这里我们选择“Verilog HDL File”,表示我们将使用Verilog HDL语言作为本工程的逻辑设计输入方式。

(2)选择【OK】后,QuartusII将默认新建一个名为“Verilog1.v”的文件,我们将工程示例代码拷贝进去,此时应如下图所示:

注意:这个源代码的模块名demo是与左边Project Navigator中工程名是一致的,而且是必须的
(2)选择菜单【File】→【Save】后,将文件保存在工程目录,并将其命名为“demo.v”即可,如下图所示:

注意:文件名不一定必须是“demo.v”,QuartusII只要求模块名与工程名相同,不要求与文件名相同
完成后此时应如下图所示:

六、逻辑综合
逻辑设计完毕后,可以初步对逻辑进行综合,以判断是否有诸如语法错误、逻辑错误等异常,并可初步对IO引脚进行分析
(1)选择菜单【Processing】→【Start】→【Start Analysis & Synthesis】后,即可开始进行逻辑设计的综合过程,完成后应如下图所示:


(2)菜单选择【Tools】→【Netlist Viewers】→【RTL Viewer】后即可出现RTL(寄存器传输级)图,通常,对于简单的逻辑错误,使用RTL可以看出来。本文要实现的是26位分频器,下图表示,每到来一个时钟,即使用Add0加法器将当前tmp寄存器中的值累加1,再通过一个clk_out~reg0将最高位输出,这正好应证了我们的设计思路。

七、引脚分配
逻辑综合如果顺利的话,设计中的输入输出引脚信息已经被分析出来,我们要做的就是将逻辑中的引脚分配到实际器件的引脚
(1)选择菜单【Assignments】→【Pin Planner】后,即可打开PinPlanner对话框。我们根据EPM240T100开发板规格书中的引脚说明(对于任何一块开发板,都必将有一个开发板的原理图或接口引脚号的说明书,用来描述开发板上主芯片与外围的连接关系),将其引脚如下图所示分配即可:

八、逻辑编译
引脚分配完成后,就可以对工程进行全编译,这包括逻辑综合、适配、时序分析等步骤,本文暂不关注这些细节
(1)选择菜单【Processing】→【Start Compilation】后,即可开始全编译过程,如果顺利的话,:


在总结中显示了当前工程编译后所占用的资源情况,本工程使用51个宏单元,占用总设计资源的21%,使用了2个引脚(即clk与clk_out),占用总引脚的3%

九、逻辑下载
逻辑全编译后即可生成下载文件,对于CPLD开发平台,通常是.pof文件,对于FPGA开发平台,还可以是.sof、.jic等文件
(1)选择菜单【Tools】 → 【Programmer】后,在弹出的对话框中勾选“Program/Configure”,表示我们将要进行烧录操作,

如果您没有插上下载器或下载器有异常,上图中USB-Blaster[USB-0]处将会显示“NoHardware”,这时您应该看看驱动是否安装,或下载器是否正常,如果确定都正常,可以点击左侧的Hardware Setup…按钮,弹出的对话框中列表中将有Usb blaster项,点击即可。
(2)将开发平台按规格书所述供好电源,再正确插上USB-Blaster JTAG口,点击【Start】按钮即可开始进行文件烧录过程

责任编辑:xj

原文标题:最详细FPGA/CPLD开发流程快速入门指南-基于Altera QuartusII

文章出处:【微信公众号:FPGA设计论坛】欢迎添加关注!文章转载请注明出处。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1600

    文章

    21283

    浏览量

    592879
  • cpld
    +关注

    关注

    32

    文章

    1243

    浏览量

    168245

原文标题:最详细FPGA/CPLD开发流程快速入门指南-基于Altera QuartusII

文章出处:【微信号:gh_9d70b445f494,微信公众号:FPGA设计论坛】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    分频器的作用 分频器的功率是不是越大越好

    分频器是一种电子设备,用于将输入信号分成不同频率的输出信号。其主要作用是将原始输入信号分离成多个频率范围内的信号,以供不同的电路进行处理。分频器广泛应用于通信、测量和音频系统中。 分频器的主要
    的头像 发表于 02-01 11:19 694次阅读

    CAN时钟分频器在CANbus模块上不执行任何操作的原因?

    。 CANCLKCON(CAN时钟控制寄存)中还有CANCLKDIV(CAN时钟分频器选择),其范围1 - 128。 据我所知,CANCLKDIV 什么也没做。我只使用普通的
    发表于 01-22 06:36

    芯片金线包封胶的使用注意事项是什么?

    芯片金线包封胶的使用注意事项是什么?金线包封胶是一种高性能、高粘度的密封胶,广泛应用于电子、电器、汽车等领域。它具有良好的防水、防潮、防震等性能,能够保护产品内部零件不受环境影响,提高产品的使用寿命
    的头像 发表于 01-05 11:29 431次阅读
    芯片金线包封胶的使用<b class='flag-5'>注意事项</b>是什么?

    电流互感器的使用注意事项

    当谈到电流互感器的使用时,有一些重要的注意事项需要我们牢记。在本文中,我们将探讨这些注意事项,为您提供详细和全面的信息。
    的头像 发表于 12-15 10:34 554次阅读
    电流互感器的使用<b class='flag-5'>注意事项</b>

    FPGA学习-分频器设计

    分频器设计 一:分频器概念 板载时钟往往 是 有限个( 50MHZ/100MHZ/24MHZ/60MHZ… ),如果在设计中需要其他时钟时,板载时钟不满足时,需要对板载时钟进行分频 / 倍频,目的
    的头像 发表于 11-03 15:55 533次阅读
    FPGA学习-<b class='flag-5'>分频器</b>设计

    51单片机12分频与1分频的区别是什么?

    51单片机12分频与1分频区别
    发表于 10-31 06:52

    中8位MCU EEPROM使用注意事项

    中颖8位MCU EEPROM使用注意事项
    的头像 发表于 09-27 15:34 485次阅读
    中8位MCU EEPROM使用<b class='flag-5'>注意事项</b>

    振弦传感器信号转换器在岩土工程监测中的注意事项

    振弦传感器信号转换器在岩土工程监测中的注意事项 振弦传感器是岩土工程中常用的一种监测设备,用于监测土体和岩体的振动情况。而振弦传感器信号转换器则是将传感器所获得的振动信号转换为人类可读的数据,以方
    的头像 发表于 09-14 10:13 238次阅读

    微电阻计注意事项

    微电阻计注意事项  微电阻计(也称电阻表)是电子工程中必备的一种仪器,主要用于测量电路中的电阻值。在工业制造和科研实验室中,微电阻计被广泛使用。因此,学习如何正确使用微电阻计非常重要。下面是一些
    的头像 发表于 08-24 14:23 472次阅读

    SMT贴片锡膏使用注意事项

    锡膏是SMT贴片加工中不可缺少的加工材料,其质量将直接影响SMT贴片加工的质量。SMT加工厂的加工质量体现在各个方面的小细节上,锡膏的使用也有很多注意事项。下面佳金源锡膏厂家给大家简单介绍一下
    的头像 发表于 08-16 16:05 720次阅读
    SMT贴片锡膏使用<b class='flag-5'>注意事项</b>

    使用注意事项

    使用注意事项
    发表于 07-07 19:04 0次下载
    使用<b class='flag-5'>注意事项</b>

    e² studio环境下添加源程序到当前工程注意事项

    e² studio环境下添加源程序到当前工程注意事项
    的头像 发表于 07-04 10:00 203次阅读
    e² studio环境下添加源程序到当前<b class='flag-5'>工程</b>的<b class='flag-5'>注意事项</b>

    029lan的pwm预分频器设置最少要为1吗,即2分频,设为0时没有输出是为什么?

    如题,这样一来,pwm的时钟哪怕是来自HCLK(029不支持PLL作为pwm时钟)最高50MHZ,经预分频器最少2分频的话,时钟最高只有25Mhz了?M0518pwm的预分频器可以设为0,不
    发表于 06-19 08:14

    请叫大神帮我画出分频器电路图

    的是三分频,就是没搞懂这个意思,右边单独两颗线,那是接这个低音喇叭的,看来看去没有找到电线圈,居然是直接低音。请大神们分析一下分频器电路,谢谢
    发表于 06-01 22:41

    RS485通讯的注意事项

    RS485通讯的注意事项: rs485和rs232通讯协议现在用的越来越普遍,那么在使用的过程中有什么注意事项呢,今天琳琳姐就带您了解一下 注意事项有很多,接下来就一一介绍一下 1.通讯速率与传输
    发表于 05-24 14:48