0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

DDR3 SDRAM控制器IP核的写命令和写数据间关系讲解

电子设计 来源:CSDN博主 作者:FPGA开源工作室 2020-12-31 11:17 次阅读

1. 背景

这篇文章主要介绍了DDR3IP核的写实现。

2. 写命令和数据总线介绍
DDR3 SDRAM控制器IP核主要预留了两组总线,一组可以直接绑定到DDR3 SDRAM芯片端口,一组是留给用户端使用的,框图如图1所示。

pIYBAF9uKZCAJVE1AAHZsq5oFxs538.png

如图1 所示的中间部分为我们调取的IP 核,user FPGA Logic 为用户端逻辑,DDR2/DDR3 SDRAM 为存储芯片。其中IP 核与存储芯片之间的总线大部分以ddr 作为开头,这部分总线我们只需要在top 模板设为端口即可,无需我们控制。用户端与IP 核之间的总线大部分以app 作为开头,并且从用户端输出到IP 核的信号线需要我们产生。

在了解了大概的框架之后,下面我们首先通过以app 为开头的总线实现对IP写控制操作。为了更好的了解相关的参数,我们可以登录Xilinx 官网下载UG586手册,具体的下载地址如下所示: https://www.xilinx.com/support/documentation/ip_documentation/mig_7serie...

通过手册我们可以了解到,为了实现写,我们需要控制app 端的命令总线和数据总线,下面先对app 端命令总线作解释,此处的input 和output 均现对于IP核而言。

端口名称 端口名称 端口描述
app_cmd input 3bit命令总线,3’b000代表写,3’b001代表读。
app_addr input 30bit地址总线(位宽根据芯片不同会不同)。
app_en input 1bit命令使能信号,该信号有效(高电平),且app_rdy也有效时,IP核才可以接收到用户端发送的app_cmd和app_addr。
app_rdy output 1bit命令空闲信号,该信号有效(高电平),且app_en也有效时,IP核才可以接收到用户端发送的app_cmd和app_addr。

在了解到命令端每一个信号的作用后,我们可以给出下图2 所示的波形,其中①、②、④处的指令均不会被IP 核接收,只有③处的指令才会被IP 接收。

pIYBAF9uKZGANU4WAAD-GxPut88233.png

app 端写数据总线中的每一根信号作用如下表所示,此处的input 和output 均现对于IP 核而言。

端口名称 端口名称 端口描述
app_wdf_data input 128bit写数据总线(位宽根据芯片不同会不同),该数据包含8个需要写入DDR3芯片的数据。
app_wdf_wren input 1bit写数据有效标志,该信号有效(高电平),且app_wdf_rdy也有效时,IP核才可以接收到用户端发送的app_wdf_data。
app_wdf_rdy output 1bit写数据空闲信号,该信号有效(高电平),且app_wdf_rdy也有效时,IP核才可以接收到用户端发送的app_wdf_data。
app_wdf_end input 1bit最后一个写数据的标志,该信号有效(高电平)时,代表对应的app_wdf_data为当前写的最后一个数据。
app_wdf_mask input 16bit写数据掩码(位宽根据芯片不同会不同),该信号为写数据的掩码。

根据上表所描述,我们可以对app 端写时序所用到的每一根信号有一点的了解,下面给出写时序的波形。图3 中所示的①、②、④处的数据均不会被写入到IP 中,只有③处的数据才会被IP 接收。

pIYBAF9uKZOAGX4AAAFEIjZukwU746.png

我们对app_wdf_end 这个信号做最进一步的讲解,该信号表示的是当前突发写的最后一个数据。在A7 DDR3 控制器IP 核中,只存在突发长度为8 这种形式,因此每一次的突发均为16bit x 8 = 128bit,并且在我们调取该IP 核时,会发现DDR3 的物理层端与用户端存在两种速率关系,即4:1 和2:1。当选取速率比例为4:1 时app_wdf_data 为128bit,此时每一个发送的有效app_wdf_data 数据均为当前8 突发的第一个数据,同时也是最后一个数据,因此此时app_wdf_end 信号 与app_wdf_wren 信号同步;当选取速率比例为2:1 时app_wdf_data 为64bit,此时每一个发送的有效app_wdf_data 数据均为当前突发的4 个数据,因此此时app_wdf_end 信号与app_wdf_wren 信号如下图②所示。

o4YBAF9uKZaAVoBhAAKMssUOeVA987.png

3. 写命令和写数据间关系讲解
根据Xilinx UG586 手册我们可知,写命令和写数据直接存在三种逻辑关系,具体示例如图5 所示。图中①状态指的是命令和数据同时发送到IP 核,②状态指的是数据提前于命令发送到IP 核,③状态指的是命令提前于数据发送到IP 核。第①、②种情况均可稳定传输,但是第③种情况需要一个前提条件,即命令提前数据的时间不能超过两个用户端的时钟周期。因此,为了更稳定的发送数据,建议采取第①、②种发送模式,在本讲中,我们采取第②种发送方式。

pIYBAF9uKZmAXAhwAAKJV3c7H8Y452.png

编辑:hfy

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21309

    浏览量

    593126
  • SDRAM
    +关注

    关注

    7

    文章

    409

    浏览量

    54728
  • DDR3
    +关注

    关注

    2

    文章

    268

    浏览量

    41782
  • Xilinx
    +关注

    关注

    70

    文章

    2119

    浏览量

    119368
  • 存储芯片
    +关注

    关注

    11

    文章

    796

    浏览量

    42443
收藏 人收藏

    评论

    相关推荐

    完整的DDRDDR2和DDR3内存电源解决方案同步降压控制器数据

    电子发烧友网站提供《完整的DDRDDR2和DDR3内存电源解决方案同步降压控制器数据表.pdf》资料免费下载
    发表于 03-13 10:16 1次下载
    完整的<b class='flag-5'>DDR</b>、<b class='flag-5'>DDR</b>2和<b class='flag-5'>DDR3</b>内存电源解决方案同步降压<b class='flag-5'>控制器</b><b class='flag-5'>数据</b>表

    基于FPGA的DDR3读写测试

    本文介绍一个FPGA开源项目:DDR3读写。该工程基于MIG控制器IP核对FPGA DDR3实现读写操作。
    的头像 发表于 09-01 16:23 847次阅读
    基于FPGA的<b class='flag-5'>DDR3</b>读写测试

    49 29C DDR3控制器User Interface详解 - 第8节

    控制器DDR3
    充八万
    发布于 :2023年08月19日 14:42:05

    49 29C DDR3控制器User Interface详解 - 第7节 #硬声创作季

    控制器DDR3
    充八万
    发布于 :2023年08月19日 14:41:15

    49 29C DDR3控制器User Interface详解 - 第4节 #硬声创作季

    控制器DDR3
    充八万
    发布于 :2023年08月19日 14:38:44

    49 29C DDR3控制器User Interface详解 - 第3节 #硬声创作季

    控制器DDR3
    充八万
    发布于 :2023年08月19日 14:37:54

    49 29C DDR3控制器User Interface详解 - 第2节

    控制器DDR3
    充八万
    发布于 :2023年08月19日 14:37:04

    48 29B DDR3控制器MIG配置详解 - 第3节 #硬声创作季

    控制器DDR3
    充八万
    发布于 :2023年08月19日 14:16:08

    48 29B DDR3控制器MIG配置详解 - 第2节

    控制器DDR3
    充八万
    发布于 :2023年08月19日 14:15:18

    Arm®CoreLink™ DMC-620动态内存控制器技术参考手册

    以下内存设备: •双倍数据速率3DDR3SDRAM。 •低压DDR3 SDRAM。 •双倍
    发表于 08-02 11:55

    ARM CoreLink DMC-520动态存储控制器技术参考手册

    内存设备: •双倍数据速率3DDR3SDRAM。 •低压DDR3 SDRAM。 •双倍
    发表于 08-02 08:30

    从零开始学习紫光同创FPGA——PGL22G开发板之DDR3 IP简单读写测试(六)

    ,实现DDR3的基于AXI4的简单读写控制,了解其工作原理和用户接口,然后通过在线Debugger工具查看写入和读出的数据是否一致。 1.2** DDR3
    发表于 06-25 17:10

    紫光同创FPGA入门指导:DDR3 读写——紫光盘古系列50K开发板实验教程

    一、实验要求 生成 DDR3 IP 官方例程,实现 DDR3 的读写控制,了解其工作原理和用户接口。 二、DDR3
    发表于 05-31 17:45

    使用带有ECC芯片的4GB DDR3 RAM连接到T1040处理DDR控制器,未能成功生成DDR地址奇偶校验错误的原因?

    我正在使用带有 ECC 芯片的 4GB DDR3 RAM 连接到 T1040 处理 DDR 控制器。 我尝试了这个序列,但未能成功生成 DDR
    发表于 05-31 06:13

    紫光同创FPGA入门指导:DDR3 读写——紫光盘古系列50K开发板实验教程

    数据速率 800Mbps 一、实验要求 生成 DDR3 IP 官方例程,实现 DDR3 的读写控制,了解其工作原理和用户接口。 二、
    发表于 05-19 14:28