0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

PYNQ项目——Pynq开发板启动实验

电子设计 来源:csdn 作者:Mculover666 2020-12-23 10:58 次阅读

作者:Mculover666

今天刚刚到手一块PYNQ-Z2,确认过眼神,是我想要的板子,话不多说,开干。

PYNQ项目是一个支持Xilinx Zynq器件的开源软件框架,目的在于借助Python降低Zynq嵌入式系统开发门槛,有丰富的组件:

要使用Pynq,需要Pynq image和Zynq芯片,目前Pynq项目支持三个板:

参考教程Pynq入门指南Getting Started章节,设置并启动Pynq开发板,对Pynq开发板有个初步的认识。

1.PYNQ-Z2image
1.1.下载映像文件
PYNQ-Z2映像文件是一个可启动的Linux映像,包括PYNQ Python包和其它开源包,可以从PYNQ-Z2官网下载到。

下载与板子适配的PYNQ-Z2版本映像文件:

1.2.SD中烧录映像文件
开源工具Win32diskimager可以将原始磁盘映像写入可移动设备中,在嵌入式开发中经常会用到,比如Android或者Ubuntu on Arm等,在此使用这个工具将映像文件烧录到SD卡中(SD卡至少8GB)。

o4YBAF9uHKKAd-rgAAAvflg2UFY481.png

2.开发板环境配置
2.1.启动方式
首先将已经烧录好PYNQ-Z2映像文件的SD卡插入开发板卡槽中,然后通过右上角BOOT跳线帽选择从SD卡启动。

2.2.网络
开发板连接到网络后可以更新软件包,需要通过以太网电缆连接到一台可以上网的路由器上。

2.3.电源
开发板支持两种供电方式,一种是USB供电,同时作串口使用,一种是12v电源供电,根据左下角跳线帽选择,这里使用第一种方式,打开电源开关即可看到红色电源灯亮起,表示供电正常。

pIYBAF9uHKyAIX0ZAAG6EzyHK90969.png

3.终端
开发板启动后,可以通过串口终端软件Xshell或者开源免费的Putty连接到Pynq(确保串口线已连接),设置如下:

  • COM端口:可以在“设备管理器”—> “端口”中查到;
  • 波特率:115200
  • 数据位:8
  • 奇偶校验:无

然后点击连接即可成功连接开发板:

o4YBAF9uHK2AJ3HAAAAeAr9lXhc390.png

使用命令ifconfig查看开发板ip地址:

o4YBAF9uHK-AMoviAACTMuVj3Cg546.png

使用hostname查看主机名:

4.PC端远程访问
确保电脑和开发板在同一网段下

4.1.ping测试
首先在windows命令行下对开发板进行ping测试,测试命令为ping+上一步获取的开发板ip地址:

4.2.访问主板上的文件
开发板上运行了Samba文件共享服务,允许从网络访问Pynq主区域,便于和开发板之间传送文件,如图,在windows资源管理器中输入//pynq/xilinx:
注:用户名和密码都为xilinx。

o4YBAF9uHLKAAAxQAABHwkg2PxY374.png

4.3.Jupyter Notebook
Pynq使用Jupyter Notebook环境提供示例和文档,使用浏览器可以交互式查看和运行笔记本文档。
在开发板和电脑之间可以ping通时,使用浏览器访问http://之前获取的ip地址:9090即可连接到Jupyter Notebook(若保证网络没有问题却还是无法连接,可以等一会再连接),用户名和密码都是xilinx:

pIYBAF9uHLSAAb49AABicwOQHEs602.png

编辑:hfy


声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • Android
    +关注

    关注

    12

    文章

    3851

    浏览量

    125628
  • 嵌入式系统
    +关注

    关注

    40

    文章

    3427

    浏览量

    128213
  • 路由器
    +关注

    关注

    22

    文章

    3495

    浏览量

    111331
  • python
    +关注

    关注

    51

    文章

    4674

    浏览量

    83460
收藏 人收藏

    评论

    相关推荐

    盘点那些硬件+项目学习套件:Hi3861鸿蒙开发板及入门常见问题解答

    华清远见20岁了~过去3年里,华清远见研发中心针对个人开发板业务,打造了多款硬件+项目学习套件,涉及STM32单片机、嵌入式、物联网、人工智能、鸿蒙、ESP32、阿里云IoT等多技术方向。 今天我们
    发表于 02-01 16:55

    盘点那些硬件+项目学习套件:STM32MP157 Linux开发板及入门常见问题解答

    用Python。开发板配套教程用的版本是OpenCV 4.x,Python 3.x 10.MP1双核是如何启动的 mp1上电M4核心默认不启动,M4核心需要通过A7唤醒 11.这个开发板
    发表于 02-01 14:25

    ADAU1761 DAC输出只有噪音是为什么?

    我在使用PYNQ-Z2开发板上的ADAU1761芯片实现锯齿波的输出,通过ZYNQ-7020驱动,ADAU1761作为I2S的从机,输入MCLK是12.5MHz,BCLK3MHz,LRCLK 48.5KHz。在我对DAC输入锯齿波的信号时,只能从耳机听到类似于白噪声的声音
    发表于 11-28 07:55

    #fpga开发板 Lattic-mipi开发板

    FPGA开发板
    明德扬科技
    发布于 :2023年10月25日 18:01:23

    【KV260视觉入门套件试用体验】PYNQ初次上手体验

    PYNQ 是 AMD 的一个开源项目,用于快速进行自适应计算平台的开发 。 使用Python语言和库,我们可以利用FPGA和ARM协同开发的优势来构建
    发表于 10-18 01:22

    迅为龙芯开发板开发板系统烧写-启动系统

    上面所有的步骤我们都做完以后,输入命令 sync 确保我们之前的步骤都可以保存到 ssd,接着拔下 U盘,最后输入命令 reboot 重启开发板,如下图所示: 如果启动成功,我们会看到 pmon
    发表于 10-09 14:04

    紫光同创PGL22G开发板|盘古22K开发板,国产FPGA开发板,接口丰富,高性价比

    盘古22K开发板是基于紫光同创Logos系列PGL22G芯片设计的一款FPGA开发板,全面实现国产化方案,板载资源丰富,高容量、高带宽,外围接口丰富,不仅适用于高校教学,还可以用于实验项目
    发表于 09-21 18:16

    【KV260视觉入门套件试用体验】KV260开发板初使用

    Gen3的接口。 作为用户的我们无需FPGA 开发经验或安装工具,就可快速启动智能相机以及其他经过硬件加速的应用程序。 二.开箱照片 开发套件正面: 开发板背面: 帅气的外壳: 三
    发表于 09-18 14:56

    【KV260视觉入门套件试用体验】Zynq超强辅助-PYNQ配置,并使用XVC(Xilinx Virtual Cable)调试FPGA逻辑

    petalinux构建带有PYNQ的SD卡启动镜像; 通过install.sh直接在ubuntu中直接安装PYNQ包; 第一种适合批量生产,第二种适合象我这样只有少量zynq板卡的开发
    发表于 09-16 14:15

    紫光同创PGL22G开发板|盘古22K开发板,国产FPGA开发板

    ,板载资源丰富,外围接口丰富,不仅适用于高校教学,还可以用于实验项目项目开发,一多用,满足多方位的
    发表于 08-31 14:21

    如何为自己的ZYNQ板卡创建Pynq镜像

    Xilinx Pynq 框架允许我们将 Python 和可编程逻辑结合起来。让我们看看如何为自己的ZYNQ板卡创建 Pynq 镜像。
    发表于 08-07 09:26 964次阅读
    如何为自己的ZYNQ板卡创建<b class='flag-5'>Pynq</b>镜像

    使用PYNQ和Vitis AI的智能办公室热办公桌

    电子发烧友网站提供《使用PYNQ和Vitis AI的智能办公室热办公桌.zip》资料免费下载
    发表于 06-29 10:47 0次下载
    使用<b class='flag-5'>PYNQ</b>和Vitis AI的智能办公室热办公桌

    使用PYNQ的Ultra96面部识别锁栓

    电子发烧友网站提供《使用PYNQ的Ultra96面部识别锁栓.zip》资料免费下载
    发表于 06-26 10:52 0次下载
    使用<b class='flag-5'>PYNQ</b>的Ultra96面部识别锁栓

    使用Tensil、TF-Lite和PYNQ在Ultra96板上运行YOLO v4 Tiny

    电子发烧友网站提供《使用Tensil、TF-Lite和PYNQ在Ultra96板上运行YOLO v4 Tiny.zip》资料免费下载
    发表于 06-25 11:17 0次下载
    使用Tensil、TF-Lite和<b class='flag-5'>PYNQ</b>在Ultra96板上运行YOLO v4 Tiny

    使用Tensil和PYNQPYNQ Z1 FPGA板上运行机器学习

    电子发烧友网站提供《使用Tensil和PYNQPYNQ Z1 FPGA板上运行机器学习.zip》资料免费下载
    发表于 06-14 11:44 0次下载
    使用Tensil和<b class='flag-5'>PYNQ</b>在<b class='flag-5'>PYNQ</b> Z1 FPGA板上运行机器学习