0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

CvP系统结构解析 PCIE协议实现FPGA 配置案例

电子设计 来源:与非网 作者:与非网 2020-11-27 14:06 次阅读

1. CvP 简介

CvP(Configuration via Protocol)是一种通过协议实现 FPGA 配置的方案,Arria® V,Cyclone® V,Stratix® V,Arria® 10,Cyclone® 10 GX,Stratix® 10,Agilex™都支持这个功能,但支持的模式有所差异。目前所采用的协议是 PCI Express® (PCIe)。

CvP 配置文件被拆分成两个,一个是 periphery image,一个是 core image。

•Periphery image (*.periph.jic) 包含 GPIOs,I/Oregisters,GCLK、QCLK、RCLK 时钟网络,PLLs,收发器,存储器 PHY 接口,以及硬核 IP,例如 PCIe 硬核。这部分不能被更改和更新。Periphery image 存储在 FPGA 配置芯片内,上电加载。

•Core image (*.core.rbf) 包含被 configuration RAM (CRAM)配置的逻辑部分,包括 LABs, DSP, 和 embedded memory。FPGA 设备上电后主机端再经由所用的协议(此处为 PCIe)将 core image 下载并配置到 FPGA 内部。

2. CvP 系统结构

CvP 系统由三部分组成:FPGA,PCIehost,FPGA 的配置器件。如图 1。

图 1 CvP 系统结构图

(1)配置器件与 FPGA 通过传统配置接口互联。对于 V 系列和 Arria10 器件来说,可支持任何配置方式,例如 AS,PS 或 FPP。针对 Stratix10,可采用 Active Serial x4 (fast mode) 或 Avalon Streaming (Avalon-ST) x8。对于 Agilex 系列器件,只可采用 Active Serial x4 (fast mode)。

(2)对于 V 系列、Arria10、Stratix10、Cyclone10GX,只有器件底部左侧的 PCIe 硬核才支持 CvP 功能,其他的 PCIe 硬核可作常规功能使用。而对于 Agilex 系列,左侧的 PCIe 硬核都支持 CvP 功能。在硬件设计阶段需要确认使用哪个 PCIe 做 CvP,一旦确定,则只有这个 PCIe 硬核作为 Endpoint 可以使能 CvP 功能,其他 PCIe 硬核不能再作此用途,但可作为常规 PCIe 接口用。

(3)其他 PCIe 硬核能作为常规 PCIe 接口使用。

3. CvP 价值

• 由于只需要存储 periphery logic,FPGA 的配置器件可以选择小容量器件,降低成本。

• Coreimage 存在主机端,提高核心逻辑的安全性。

• 对于支持 CvP Update Mode 的器件来说,可支持动态的核心逻辑更新,而不需要系统断电重启。

• 主机侧提供了简单的软件配置模型。

4. CvP 模式

CvP 有两种模式:CvP Initialization mode 和 CvP Update mode。

4.1 CvP Initialization Mode 初始化模式

FPGA 在上电时加载配置芯片上的 peripheral image,在 100ms 的枚举时间内成功配置其 PCIe 接口,使主机能正确枚举该 PCIe 设备。主机启动后再通过 PCIe 链路配置 FPGA 核心逻辑。

CvP Initialization Mode 优势:

• 保证 FPGA PCIe 设备满足枚举时间。

• FPGA 的配置器件可以选择小容量器件,降低成本。

• 保证主机侧核心逻辑的安全性。

4.2 CvP Update Mode 更新模式

当 FPGA 进入用户模式,对除 CvP PCIe 核之外的其他逻辑通过 CvP 进行重新配置,此时 CvP PCIe 既可以做常规 PCIe 使用,又可以实现 FPGA 核心逻辑的更新。为使用该模式,需要参考 Partition 的流程对工程进行分模块 Partition 和 Logic Lock 设计,以重复利用设备外设,保证 peripheral image 不变。

当有以下几种需要时,可以考虑使用该模式:

• 需要对核心逻辑进行更新。

• 根据功能发布情况对设备进行更新。

4.3 不同器件系列支持的模式

前面说到 ArriaV,CycloneV,StratixV,Arria10,Cyclone10GX,Stratix10,Agilex 都支持 CvP,但不同系列器件支持的模式有所差异,具体如表 1,其中 Agilex 只支持 InitializationMode,如表 2。

表 1 不同器件系列支持的模式

表 2 Agilex 系列支持的模式

5. CvP Initialization mode 实现流程和注意事项

使用 Stratix V 开发板实现 CvP Initialization mode 的流程及注意事项。

• 板卡:Stratix-VGX Dev Kit (PCIe board),PCIe Gen1x8。

• 主机:64 位 Windows7 操作系统

Quartus 版本:QuartusII 64-Bit Version 14.1.0 Build 186

5.1 实现流程

1. 构建含 PCIe IP 的工程,此处采用 S5PCIe 的 Example design。

2. 打开工程内 PCIe IP 的 GUI,勾选“Enable configuration via the PCIe link”。

3. 在 Assignments =》 Device =》 Device andPin Options =》 Configuration 中选择 FPGA 的配置模式为 Active Serial x4(并设置 MSEL)。

在 Assignments =》 Device =》 Device and Pin Options =》 CvPSettings 选择 configuration via protocol 为 Core initialization。

4. 根据开发板分配管脚并编译工程,生成 cvp_example.sof。

5. 将生成的 cvp_example.sof 进行拆分,打开 File =》 Convert Programming Files,设置 Programmingfile type 为 JTAG Indirect Configuration File (.jic)。添加 cvp_example.sof,勾选 Create Memory Map File 和 Create CvP files。点击 Generate 之后,cvp_example.sof 就被拆分成两部分,cvp_example.periph.jic 和 cvp_example.core.rbf。

6. 关闭主机,将 PCIE 板卡连上 JTAG,插在主机 PCIe 插槽里,主机再上电启动。此时 PCIE 板卡由 PCIE 插槽供电。打开 Quartus II Programmer,点击 Auto Detect 扫描 JTAG 链,选择 FPGA 点击 ChangeFile,选择之前生成的 cvp_example.periph.jic 文件,并烧写进 EPCQ256。

7. 重新启动主机,枚举 PCIe 设备。此时已经加载了 cvp_example.periph.jic 的 PCIE 板卡将作为 PCIE 设备被系统识别。根据 PCIe IP 的设置,在主机侧查看 DeviceID 为 E001,Vendor ID 为 1172 的 PCIe 设备。

此时可以下载一个免费软件“RW – Read & Write Utility”。找到 Device ID 为 E001,Vendor ID 为 1172 的 PCIe 设备。

8. 在主机上使用 quartus_cvp 对识别到的 PCIe 板卡(Device ID 为 E001,VendorID 为 1172)加载核心逻辑部分 cvp_example.core.rbf。打开 Windows CMD,更改路径到 cvp_example.core.rbf 所在的位置。

输入如下命令并回车:

• quartus_cvp--vid=1172 --did=e001 cvp_example.core.rbf

如果成功执行该命令,会出现如下界面,表示核心逻辑已被成功加载进 FPGA,此时 FPGA 进入 usermode,可以正确工作。

5.2 注意事项

运行 quartus_cvp 时需要安装 Jungo WinDriver。
编辑:hfy

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21302

    浏览量

    593109
  • PCIe
    +关注

    关注

    13

    文章

    1083

    浏览量

    80801
收藏 人收藏

    评论

    相关推荐

    高性能NVMe主机控制器,Xilinx FPGA PCIe 3

    ,从而可实现更高存储性能和存储容量。无需CPU,NVMe Host Controller IP自动执行对PCIe SSD的PCIe设备枚举和配置、NVMe控制器识别和初始化、NVMe队
    发表于 04-20 14:41

    深入理解 FPGA 的基础结构

    系统研究专家写的,对 FPGA 的基本结构有非常详细的说明。 Principles and Structures of FPGAs:这个是上面那本书的英文版。 (1)
    发表于 04-03 17:39

    PCIe控制器(FPGA或ASIC),PCIe-AXI-Controller

    PCIe-AXI-Controller兼容PCI Express Base Specification Revision 3.1,实现PCIe PHY Layer,Data Link Layer以及
    的头像 发表于 02-21 15:15 249次阅读
    <b class='flag-5'>PCIe</b>控制器(<b class='flag-5'>FPGA</b>或ASIC),<b class='flag-5'>PCIe</b>-AXI-Controller

    FPGA实现原理

    FPGA(Field-Programmable Gate Array,现场可编程门阵列)是一种特殊的集成电路,其内部结构由大量的可配置逻辑块和互连线组成。FPGA可以通过编程来
    发表于 01-26 10:03

    体验紫光PCIE之DMA读写/PIO内存读写TLP解析

    为CplD),Byte Count为0x004,TAG为0x00,其他信息对座入号就行了。具体的含义可查询PCIE协议规范。 1.2 DMA读写操作TLP解析 DMA直接内存访问,内存访问的发起者是
    发表于 11-17 15:08

    体验紫光PCIE之使用WinDriver驱动紫光PCIE

    ,那就很容易把pcie协议理解彻透彻,当然这里狭义指的是上层交互的TLP协议,数据链路层和物理层更复杂的事情是硬核做的,用起来PCIE并不需要深入了解。 如何使用紫光
    发表于 11-17 14:35

    PCIe引脚定义和PCIe协议层介绍

    本文我们将向大家介绍PCIe引脚定义以及PCIe协议层。
    发表于 09-26 11:39 7478次阅读
    <b class='flag-5'>PCIe</b>引脚定义和<b class='flag-5'>PCIe</b><b class='flag-5'>协议</b>层介绍

    基于FPGAPCIE通信测试

    本文介绍一个FPGA开源项目:PCIE通信。该工程围绕Vivado软件中提供的PCIE通信IP核XDMA IP建立。Xilinx提供了XDMA的开源驱动程序,可在Windows系统或者
    的头像 发表于 09-04 16:45 1287次阅读
    基于<b class='flag-5'>FPGA</b>的<b class='flag-5'>PCIE</b>通信测试

    基于FPGAPCIE I/O控制卡通信方案

    本文介绍一个FPGA 开源项目:PCIE I/O控制卡。上一篇文章《FPGA优质开源项目– PCIE通信》开源了基于FPGA
    的头像 发表于 09-01 16:18 1490次阅读
    基于<b class='flag-5'>FPGA</b>的<b class='flag-5'>PCIE</b> I/O控制卡通信方案

    深度解析CPLD和FPGA内部结构和原理

    大多数FPGA都具有内嵌的块RAM,这大大拓展了FPGA的应用范围和灵活性。块RAM可被配置为单端口RAM、双端口RAM、内容地址存储器(CAM)以及FIFO等常用存储结构。RAM、F
    发表于 08-29 10:14 1630次阅读
    深度<b class='flag-5'>解析</b>CPLD和<b class='flag-5'>FPGA</b>内部<b class='flag-5'>结构</b>和原理

    fpga内部主要结构及其功能分析(Kintex-7FPGA内部结构

    Kintex-7 FPGA的内部结构相比传统FPGA的内部结构嵌入了DSP48E1,PCIE,GTX,XADC,高速IO口等单元,大大提升了
    发表于 08-24 09:26 1560次阅读
    <b class='flag-5'>fpga</b>内部主要<b class='flag-5'>结构</b>及其功能分析(Kintex-7<b class='flag-5'>FPGA</b>内部<b class='flag-5'>结构</b>)

    PCIe AMBA集成指南

    本文档旨在提供关于将PCIe接口集成到基于AMBA的片上系统(SoC)的指导。 假设PCIe接口通过基于AXI或ACE协议的互连连接到SoC的其余部分。 读者应熟悉
    发表于 08-17 07:25

    基于AMD FPGAPCIE DMA逻辑实现

    AMD FPGA自带PCIE硬核,实现PCIE协议,把串行数据转换为并行的用户数据
    的头像 发表于 07-14 15:53 1029次阅读
    基于AMD <b class='flag-5'>FPGA</b>的<b class='flag-5'>PCIE</b> DMA逻辑<b class='flag-5'>实现</b>

    基于AMD FPGAPCIE DMA逻辑实现

    AMD FPGA自带PCIE硬核,实现PCIE协议,把串行数据转换为并行的用户数据,以UltraScale系列
    的头像 发表于 06-09 09:34 963次阅读
    基于AMD <b class='flag-5'>FPGA</b>的<b class='flag-5'>PCIE</b> DMA逻辑<b class='flag-5'>实现</b>

    ls1028 pcie配置,是否需要启用任何与pcie相关的电源设置?

    你好 作为我们在 ls1028 上努力的一部分 我们通过 pcie 将自定义 fpga 连接到 M.2 连接器(通道 C/2 - j16) 相关uboot日志: U-Boot
    发表于 05-09 12:00