0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

浅谈Vivado 综合选项的7种设置

电子设计 来源:CSDN博主 作者:言人善友 2020-11-25 10:28 次阅读

-flatten_hierarchy

full: 综合时将原始设计打平,只保留顶层层次,执行边界优化
none: 综合时完全保留原始设计层次,不执行边界优化
rebuilt: 综合时将原始设计打平,执行边界优化,综合后将网表文件按照原始层次显示,故与原始层次相似。

当-flatten_hierarchy为none时消耗的寄存器最多,建议其设定为默认值rebuilt。

-fsm_extraction

用于设定状态机的编码方式,默认值为auto。
-fsm_encoding
功能同上,优先级高于-fsm_extraction,但如果代码本身已经定义了编码方式,该设定将无效。
one-hot:任意状态只有一个比特位置一。

-keep_equivalent_registers

equivalent registers,等效寄存器,即共享输入数据的寄存器。
勾选时,等效寄存器不合并;
不勾选时,等效寄存器合并。
等效寄存器可以有效的降低扇出,可以通过综合属性keep避免其被合并。

-resource_sharing

其目的是对算术运算通过资源共享优化设计资源
auto
on
off

-control_set_opt_threshold

触发器的控制集由时钟信号、复位/置位信号和使能信号构成,通常只有{clk,set/rst,ce}均相同的触发器才可以被放置在一个SLICE中。
control_set_opt_threshold的值为控制信号(不包括时钟和数据)的扇出个数,表明对小于此值的同步信号进行优化,显然此值越大,被优化的触发器越多,但占用的查找表也越多。
control_set_opt_threshold的值为0,不进行优化。
auto:默认值。

-no_lc

对于一个x输入布尔表达式和一个y输入的布尔表达式,只要满足x + y ≤5(相同变量只算一次),这两个布尔表达式就可以放置在一个LUT6中实现。
当-no_lc被勾选时,则不允许出现LUT整合。
通过LUT整合可以降低LUT的资源消耗率,但也可能导致布线拥塞。因此,xilinx建议,当整合的LUT超过了LUT总量的15%时,应考虑勾选-no_lc,关掉LUT整合。

-shreg_min_size

shreg_min_size决定了当VHDL代码描述的移位寄存器深度大于此设定值时,将采用“触发器+SRL+触发器”的方式实现。

编辑:hfy


声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 寄存器
    +关注

    关注

    30

    文章

    5025

    浏览量

    117700
  • vhdl
    +关注

    关注

    30

    文章

    815

    浏览量

    127674
  • D触发器
    +关注

    关注

    2

    文章

    147

    浏览量

    47369
  • Vivado
    +关注

    关注

    18

    文章

    789

    浏览量

    65092
收藏 人收藏

    评论

    相关推荐

    浅谈综合管廊监控及安防技术

    浅谈综合管廊监控及安防技术 张颖姣 安科瑞电气股份有限公司 上海嘉定 201801 摘要:在社会经济快速发展的环境下,我国越来越重视城市综合管廊工程,加大工程建设力度,以优化城市发展环境,激发
    的头像 发表于 02-27 15:07 90次阅读
    <b class='flag-5'>浅谈</b><b class='flag-5'>综合</b>管廊监控及安防技术

    如何禁止vivado自动生成 bufg

    操作: 打开Vivado工程,并进入项目导航器窗口。 选择下方的"IP"选项卡,展开"Clocking"选项。在这
    的头像 发表于 01-05 14:31 624次阅读

    使用Vivado高层次综合(HLS)进行FPGA设计的简介

    电子发烧友网站提供《使用Vivado高层次综合(HLS)进行FPGA设计的简介.pdf》资料免费下载
    发表于 11-16 09:33 0次下载
    使用<b class='flag-5'>Vivado</b>高层次<b class='flag-5'>综合</b>(HLS)进行FPGA设计的简介

    浅谈综合布线系统中如何避免感应雷的袭击

    电子发烧友网站提供《浅谈综合布线系统中如何避免感应雷的袭击.doc》资料免费下载
    发表于 11-10 16:09 0次下载
    <b class='flag-5'>浅谈</b>在<b class='flag-5'>综合</b>布线系统中如何避免感应雷的袭击

    Vivado Design Suite用户指南:综合

    电子发烧友网站提供《Vivado Design Suite用户指南:综合.pdf》资料免费下载
    发表于 09-13 15:47 0次下载
    <b class='flag-5'>Vivado</b> Design Suite用户指南:<b class='flag-5'>综合</b>

    Vivado使用指南

    Project Summary,在整个界面中占了很大一个板块空间,并且包含了一些图形界面空间,工程的所有性能指标都在这里面会体现出来,包含了几大板块:工程设置板块、综合板块、实现板块、DRC板块、时序板块、资源
    发表于 09-06 17:55

    Vivado IP核Shared Logic选项配置

    在给Vivado中的一些IP核进行配置的时候,发现有Shared Logic这一项,这里以Tri Mode Ethernet MAC IP核为例,如图1所示。
    的头像 发表于 09-06 17:05 612次阅读
    <b class='flag-5'>Vivado</b> IP核Shared Logic<b class='flag-5'>选项</b>配置

    vivado软件和modelsim软件的安装方法

    本文详细介绍了vivado软件和modelsim软件的安装,以及vivado中配置modelsim仿真设置,每一步都加文字说明和图片。
    的头像 发表于 08-07 15:48 1695次阅读
    <b class='flag-5'>vivado</b>软件和modelsim软件的安装方法

    Vivado-jobs和threads的区别在哪?

    Vivado中对工程进行综合时,会弹出如下对话框
    的头像 发表于 07-24 15:28 557次阅读
    <b class='flag-5'>Vivado</b>-jobs和threads的区别在哪?

    PADS PCB布线前的设置

    在开始设计布线之前,需要设置一下布线的方向和相关的布线选项。主要需要设置哪些就具体如下。 1、执行菜单命令【工具-选项】,需要设置一下“设计
    的头像 发表于 07-10 10:25 6275次阅读
    PADS PCB布线前的<b class='flag-5'>设置</b>

    Vivado综合阶段什么约束生效?

    Vivado综合默认是timing driven模式,除了IO管脚等物理约束,建议添加必要的时序约束,有利于综合逻辑的优化,同时综合后的design里面可以评估时序。
    的头像 发表于 07-03 09:03 438次阅读

    RA6快速设计指南 [3] 选项设置存储器,时钟电路(1)

    4 选项设置存储器 选项设置存储器用于确定复位后MCU的状态。该存储器分配在闪存中的配置设置区域和程序闪存区域。这两个区域的可用
    的头像 发表于 06-08 17:00 455次阅读
    RA6快速设计指南 [3] <b class='flag-5'>选项</b><b class='flag-5'>设置</b>存储器,时钟电路(1)

    Vivado综合参数设置

    如果你正在使用Vivado开发套件进行设计,你会发现综合设置中提供了许多综合选项。这些选项
    的头像 发表于 05-16 16:45 2103次阅读
    <b class='flag-5'>Vivado</b><b class='flag-5'>综合</b>参数<b class='flag-5'>设置</b>

    Vivado布线和生成bit参数设置

    本文主要介绍Vivado布线参数设置,基本设置方式和vivado综合参数设置基本一致,将详细说明
    的头像 发表于 05-16 16:40 3225次阅读
    <b class='flag-5'>Vivado</b>布线和生成bit参数<b class='flag-5'>设置</b>

    简述Vivado中的Elaborate的作用

    Vivado的界面中,有个RTL ANALYSIS->Open Elaborated Design的选项,可能很多工程师都没有使用过。因为大家基本都是从Run Synthesis开始的。
    的头像 发表于 05-05 16:00 905次阅读
    简述<b class='flag-5'>Vivado</b>中的Elaborate的作用