0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

关于verilog中的无符号数和有符号数

454398 来源:博客园 作者:lianjiehere 2020-11-18 17:46 次阅读

数字电路中,出于应用的需要,我们可以使用无符号数,即包括0及整数的集合;也可以使用有符号数,即包括0和正负数的集合。在更加复杂的系统中,也许这两种类型的数,我们都会用到。

有符号数通常以2的补码形式来表示。图1列出了4位二进制表示法所对应正负数。进一步观察,我们发现两种类型数的加减法是一样的,做加法和减法就是 在数轮上按正时钟转转或按反时钟转。比方说,1001+0100,意味着从1001按照顺时钟方向移动4个位置,其结果为1101。在无符号数类型中,它 代表(+9)+(+4)=+13;而在有符号数类型中,它则代表(-7)+(+4)=-3。从数轮上看,若是加法所得的结果溢出了,那么也就是穿越了数轮 的临界点。注意这个临界点对于无符号数和有符号数来说,是不一样的:无符号数,是介于1111和0000之间;有符号数,则是介于0111和1000之 间。

物理加减法的行为正好和数轮的移动类似。只要所有的运算子和结果具有相同的位宽,那么有符号数或无符号数的形式就可用于相同的电路。比方说,设a、b和sum都是8位信号,表达式

sum = a+ b;

无论这些信号被转译成有符号数或无符号数,它都会引用相同的硬件且使用相同的二进制表示法。这种现象在其他算术运算中也是正确的(但是它不可用于非算术运算中,比方说有理数运算或溢出标志位的生成)。

图1 4位二进制数轮

此外,当运算子或其结果的位宽不同时,我们需要区分它究竟使用哪一种符号类型。因为不同的符号类型需要不同的扩展位。对于无符号数,前置一个0,即 所谓的零扩展位;对于有符号数来说,需要前置n个所谓的符号扩展位。比方说4位二进制表示的-5为1011;当其扩展成8位时,应该变为 1111_1011,而不是0000_1011。

举个例子,设a和sum为8位信号,b为4位信号即b3b2b1b0。

表达式:sum = a + b

需要将b扩展为8位。如果是无符号数形式,那么b扩展为0000_b3b2b1b0;如果是有符号数形式,那么b扩展为 b3b3b3b3_b3b2b1b0。上述表达式所引用的硬件包括位宽扩展电路和加法器。因为对于有符号数和无符号数来说,扩展电路是不同的;所以上面那 个表达式,对应有符号数和无符号数形式,要使用不同的硬件实现。

Verilog-1995中的有符号数

在Verilog-1995中,只有integer数据类型被转移成有符号数,而reg和wire数据类型则被转移成无符号数。由于integer 类型有固定的32位宽,因此它不太灵活。我们通常使用手动加上扩展位来实现有符号数运算。

下面的代码片段将描述有符号数和无符号数的运算:

01 reg [7:0] a, b;
02 reg [3:0] c,
03 reg [7:0] sum1, sum2, sum3, sum4;
04 . . .
05 // same width. can be applied to signed and unsigned
06 sum1 = a + b;
07 // automatica 0 extension
08 sum2 = a + c;
09 // manual 0 extension
10 sum3 = a + {4{ 1'b0 }, c};
11 // manual sign extension
12 sum4 = a + {4{c[3]}, c};

在第一条语句中,a、b和sum1有相同的位宽,因此无论是转译成有符号数还是无符号数,它都将引用相同的加法器电路。

在第二条语句中,c的位宽仅为4,在加法运算中,它的位宽会被调整。因为reg类型被作为无符号数看待,所以c的前面会被自动置入0扩展位。

在第三条语句中,我们给c手动前置4个0,以实现和第二个表达式一样的效果。

在第四条语句中,我们需要把变量转译成有符号数。为了实现所需的行为,c必须扩展符号位到8位。没有其他的办法,只好手动扩展。在代码中,我们重复复制c的最高位4次(4{c[3]})来创建具有扩展符号位的8位数。

Verilog-2001中的有符号数

在Verilog-2001中,有符号形式也被扩展到reg和wire数据类型中。哈哈,新加一个关键字,signed,可以按照下面的方式定义:

reg signed [7:0] a, b;

使用有符号数据类型, 第2节所述代码可以被改写为:

1 reg signed [7:0] a, b;
2 reg signed [3:0] c;
3 reg signed [7:0] sum1, sum4;
4 . . .
5 // same width. can be applied to signed and unsigned
6 sum1 = a + b;
7 // automatic sign extension
8 sum4 = a + c;

第一条语句将引用一个常规的加法器,因为a、b和sum1具有相同的位宽。

第二条语句,所有的右手边变量都具有signed数据类型,c被自动扩展符号位到8位。因此,无需再手动添加符号位。

在小型的数字系统中,我们通常可以选用有符号数或者无符号数。然而,在一些大型的系统中,会包括不同形式的子系统。Verilog是一种弱类型语 言,无符合变量和有符号变量可以在同一表达式中混用。根据Verilof的标准,只有当所有右手边的变量具有signed数据类型属性的时候,扩展符号位 才被执行。否则,所有的变量都只扩展0。

考虑下面的代码片段:

1 reg signed [7:0] a, sum;
2 reg signed [3:0] b;
3 reg [3:0] c;
4 . . .
5 sum = a + b + c;

由于c不具有signed数据类型属性,因此右手边的变量b和c的扩展位为0。

Verilog有两个系统函数,$signed和$unsigned(),用以将括号内的表达式转换为signed和unsigned数据类型。比方说,我们可以转换c的数据类型,

sum = a + b + $signed(c);

现在,右手边的所有变量都具有signed数据类型属性,因此b和c将扩展符号位。

在复杂的表达式中,混用signed和unsigned数据类型将引入一些微妙的错误,因此应当避免混用。如果真的很有必要,那么表达式需要保持简单,同时通用转换函数,以确保数据类型的一致性。

编辑:hfy

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • Verilog
    +关注

    关注

    28

    文章

    1324

    浏览量

    109285
  • 数字电路
    +关注

    关注

    192

    文章

    1380

    浏览量

    79721
收藏 人收藏

    评论

    相关推荐

    verilog中有符号数和无符号数的本质探究

    不知道有没有人像我一样,长久以来将verilog中的有符号数视为不敢触碰的禁区。
    的头像 发表于 12-04 16:13 306次阅读
    <b class='flag-5'>verilog</b>中有<b class='flag-5'>符号数</b>和无<b class='flag-5'>符号数</b>的本质探究

    采集的数据转换成符号数的问题

    的时候怎么都不正确,具体原因如下,字符串转数值的时候只发现可以转换成符号数,而采集下来的数据是符号数,转换成
    发表于 05-02 11:55

    原码、补码、反码、符号数符号数概念.pdf

    原码、补码、反码、符号数符号数概念.pdf
    发表于 11-15 08:44

    符号数符号数,浮点数探讨

    本帖最后由 hq1987 于 2015-4-29 11:52 编辑 对于符号数大家都基本理解,我主要说说符号数,浮点数在机器世界里到底是怎么表示的。1、
    发表于 04-29 10:15

    关于符号数符号数的困惑

    ,存储符号数)Tab = -4'd12;//整数Tab的十进制数为-12,位形式为110100.(因为Tab是整数寄存器变量,存储符号数)我的困惑是,-12的二进制补码应该是101
    发表于 11-02 14:13

    请问STM32符号数的右移也和除法运算等效吗?

    小弟最近在用STM32F030C6T6芯片完成电机的SVPWM控制。现在发现算法,运行速度很慢。打算将所有的除法运算改成移位运算。一般的,符号数的右移几位和除以2的几次幂是等效的。但是,在STM32里面,
    发表于 01-22 08:14

    请问AFE5801 AD转换后数字信号是用符号数还是符号数表示?

    请问AFE5801 AD转换后数字信号是用符号数还是符号数表示的啊?
    发表于 05-24 08:04

    双状态符号/符号数据类型

    双状态符号数据类型双状态符号数据类型四状态数据类型
    发表于 01-18 06:03

    关于符号符号数,原码补码之间的运算

    小弟我最近被一个问题纠结好久,就是符号符号数,原码补码之间的运算,比如举个例吧,-6和7,我去算它们之间的加法和乘法。假设输入数据用8位2进制表示。首先,-6的原码是100001
    发表于 06-24 10:00

    符号数的平均数

    符号数的平均数文章目录题目重述问题分析以及求解思路程序代码题目重述试求内部RAM30H~37H单元8个符号数的算术平均值,结果存入3
    发表于 12-01 08:01

    fpga 有符号数、无符号数

     在设计中,所有的算数运算符都是按照无符号数进行的。如果要完成有符号数计算,对于加、减操作通过补码处理即可用无符号加法完成。对于乘法操作,无符号数直接采用“*”运算符,有
    的头像 发表于 10-09 15:22 5722次阅读
    fpga 有<b class='flag-5'>符号数</b>、无<b class='flag-5'>符号数</b>

    详细分析Verilog编写程序测试无符号数和有符号数的乘法

    符号数的计算在 Verilog 中是一个很重要的问题(也很容易会被忽视),在使用 Verilog 语言编写 FIR 滤波器时,需要涉及到有符号数的加法和乘法,在之前的程序中我把所有的
    的头像 发表于 05-02 10:48 6221次阅读
    详细分析<b class='flag-5'>Verilog</b>编写程序测试无<b class='flag-5'>符号数</b>和有<b class='flag-5'>符号数</b>的乘法

    C语言中无符号数和有符号数的左移和右移

    2^n。那么对于无符号数和有符号数,对于左移和右移的操作分别是如何呢?下面通过实验来进行验证:对于无符号数的左移和右移,代码片段如下:void main(void){ static UINT16 sTemp;
    发表于 01-13 13:17 2次下载
    C语言中无<b class='flag-5'>符号数</b>和有<b class='flag-5'>符号数</b>的左移和右移

    关于符号数据类型的示例

    我们学习一下Systemverilog中的有符号数据类型的赋值。
    的头像 发表于 10-17 14:40 724次阅读

    verilog中数据的符号属性(有符号数和无符号数)探究根源

    为了省流,还是先甩结论。有符号数和无符号数的最本质区别就是:符号位的识别和高位拓展。除此之外,另一个区别就是从人的角度如何如何读这个数,或者说$display(%d)打印时打印的值是什么(而从机器的角度它压根就不区分signed
    的头像 发表于 12-10 10:50 489次阅读
    <b class='flag-5'>verilog</b>中数据的<b class='flag-5'>符号</b>属性(有<b class='flag-5'>符号数</b>和无<b class='flag-5'>符号数</b>)探究根源