0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

FPGA开发:modelsim仿真流程及波形

454398 来源:MYMINIEYE微信公众号 作者:MYMINIEYE微信公众号 2020-09-30 13:52 次阅读

对于FPGA开发而言,仿真是开发流程中必不可少的一步,也是非常重要的一步,仿真是将RTL代码模拟运行,得到module中信号波形,再进行功能分析的过程。强大的功能与速度兼具的modelsim仿真就是你开发过程的最合适的选择了,下面我以简单的24进制计数器带各位熟悉modelsim仿真流程及波形。

一、新建工作库Library
1、点击File>New>Library

创建新的库和逻辑映射,编辑库名,点击ok确定

如果已经建立过work库可以跳过该步骤

2、库的其他功能
由于modelsim无法识别其他厂商器件的IPcore,所以如果需要用到综合器对应厂商如Xilinx或Gowin芯片的功能,则需对modelsim添加厂商提供的库相关文件,并编译库,从而达到调用库的功能,进而对 VIVADO或Gowin软件进行设置,最后进行联合仿真。

二、新建工程Project
点击File>New>Project

创建工程名、设置工程路径、确定默认工作库

三、添加仿真源文件
仿真源文件包含功能源文件与测试激励源文件;

点击Browse,浏览文件

选择两个文件并打开

添加文件到工程,点击OK

点击close关闭

如有不清楚testbench编写,可以参考myminieye公益课堂系列教程第18集;仿真基础篇1FPGA_simulation_testbench,

连接:https://www.bilibili.com/video/BV1EE411475Z?p=18

四、仿真源文件编译
若状态Status显示“?”为文件未编译

常用有3种编译方式:编译选中文件、编译所有文件、编译未编译文件;对应操作方式如下:

菜单栏: 右击菜单

图标:

若状态Status显示“√”则为编译成功,也可从下方Transcript看出文件编译成功

若状态Status并未显示“√“,或者下方Transcript显示编译不成功,则需修改对应的源文件。

修改后重新编译,可从Transcript检查是否有语法错误等,直至显示编译成功。

五、仿真运行
编译成功后即可运行仿真了;

开始仿真:Simulation>Start Simulation;或者点击下图中的小图标

此时记得要选中tb测试激励文件(testbench文件)进行仿真,点击ok确定

如果Transcript显示无报错则可以进行下一步操作

端口类型

激励类型

2.添加波形文件

Sim:选择下图所示的sim,右键点击选中tb测试文件>addto>wave>all items in region

为了便于观察波形,进行分组并命名,点击ok确定;

为了与tb测试文件仿真波形进行对比,同理可添加源文件波形;

同样为了便于观察波形,进行分组并命名;

信号位置移动方便多个信号同时分析:分组后,可以上下拖拽所需要分析的信号,方便且清晰的上下对比所需波形之间的差异,此时分组的目的就是能与拖拽前后波形信号对比区分,不至于搞混;

信号显示属性设置,选择合适的显示方式便于功能分析更好的找到信号并确定结果是否符合预期;

信号显示进制设置:

例:选择十六进制的信号格式:选中对应信号右键>Radix>hexadecimal

可修改为常用的信号格式:二进制Binary、八进制 Octal、十进制Decimal、无符号型Unsigned、十六进制Hexadecimal等;

也可在属性Properties中修改信号格式,修改波形颜色,信号名颜色;

也可选择需要修改的信号波形颜色及信号名颜色,这样在仿真波形图中能更容易分辨;

3、 运行仿真工程,并设置运行时间

可在Transcript命令行输入run 1us命令,运行 1us 时间,也可运行其他时间

也可点击下图中输入需要运行波形的时间,然后点击图中的小图标。

4波形界面调整

下图为波形界面常用的控制图标解析;

自此Modelsim将RTL代码转化为波形的功能完成,仿真后续内容需要自行根据实际module功能进行波形分析。

编辑:hfy

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1600

    文章

    21281

    浏览量

    592866
  • 仿真
    +关注

    关注

    50

    文章

    3871

    浏览量

    132132
  • 波形
    +关注

    关注

    3

    文章

    343

    浏览量

    31242
  • ModelSim
    +关注

    关注

    5

    文章

    172

    浏览量

    46749
收藏 人收藏

    评论

    相关推荐

    fpga开发一般用什么软件

    此外,还有一些其他的辅助工具,如用于数字信号处理开发的System Generator,以及用于HDL语言仿真ModelSim等。这些工具可以配合上述的主要FPGA
    的头像 发表于 03-27 14:54 466次阅读

    最实用的Modelsim使用教程

    今天给大侠带来最实用的Modelsim初级使用教程,话不多说,上货。 一、 Modelsim简介 Modelsim仿真工具是Model公司
    发表于 03-19 16:40

    fpga仿真器是什么?它有哪些优势?

    FPGA仿真器是一种用于模拟FPGA(现场可编程门阵列)硬件行为的软件工具。它通过模拟FPGA内部的逻辑电路、时序和接口等,帮助工程师在FPGA
    的头像 发表于 03-15 15:15 256次阅读

    fpga开发一般用什么软件

    FPGA(现场可编程门阵列)开发通常使用一系列专门的软件工具,这些工具涵盖了从设计、仿真到编译和调试的整个流程
    的头像 发表于 03-15 14:43 749次阅读

    fpga仿真文件怎么写

    首先,你需要选择一个FPGA仿真软件,如ModelSim、Vivado、Quartus II等。这些软件都提供了强大的仿真功能,可以帮助你验证FPG
    的头像 发表于 03-15 14:00 178次阅读

    【基于Lattice MXO2的小脚丫FPGA核心板】02ModelSim仿真

    ModelSim软件用于FPGA的模块仿真 软件安装 ModelSim仿真软件随着Diamond一同安装,软件的安装和证书申请
    发表于 02-29 08:25

    如何使用 ModelSim 进行设计仿真

    ModelSim为HDL仿真工具,我们可以利用该软件来实现对所设计的VHDL或Verilog程 序进行仿真,支持IEEE常见的各种硬件描述语言标准。可以进行两种语言的混合仿真,但 推荐
    发表于 01-14 09:47 0次下载

    FPGA基本开发设计流程

    FPGA的设计流程就是利用EDA开发软件和编程工具对FPGA芯片进行开发的过程。FPGA
    发表于 12-31 21:15

    怎样单独使用modelsim仿真xilinx呢?

    直接在modelsim软件内执行.do文件进行仿真,不通过vivado调用modelsim,vivado仅用于生成IP核。
    的头像 发表于 12-04 18:26 565次阅读
    怎样单独使用<b class='flag-5'>modelsim</b><b class='flag-5'>仿真</b>xilinx呢?

    如何用Python实现Vivado和ModelSim仿真自动化?

    我们在Windows系统下使用Vivado的默认设置调用第三方仿真器比如ModelSim进行仿真时,一开始仿真软件都会默认在波形界面中加载t
    的头像 发表于 09-13 09:23 749次阅读
    如何用Python实现Vivado和<b class='flag-5'>ModelSim</b><b class='flag-5'>仿真</b>自动化?

    将vivado的仿真器改成modelsim仿真modelsim的transcript没法打印出e203实时运行的信息怎么解决?

    原来仿真使用的是vivado simulator,最近将vivado的仿真器改成modelsim,发现仿真的时候modelsim的trans
    发表于 08-11 09:47

    Vivado调用Modelsim仿真

    Modelsim是十分常用的外部仿真工具,在Vivado中也可以调用Modelsim进行仿真,下面将介绍如何对vivado进行配置并调用Models
    的头像 发表于 07-24 09:04 1953次阅读
    Vivado调用<b class='flag-5'>Modelsim</b><b class='flag-5'>仿真</b>

    modelsim自动化仿真实验 利用脚本实现modelsim自动化仿真

    大家好!今天给大家带来的是modelsim自动化仿真程序。我们在代码编写完成时,通常都需要先进行仿真,然后上板实验。但是如果我们每次仿真都要去新建一个工程,添加.v文件以及testbe
    的头像 发表于 07-19 10:10 1049次阅读
    <b class='flag-5'>modelsim</b>自动化<b class='flag-5'>仿真</b>实验 利用脚本实现<b class='flag-5'>modelsim</b>自动化<b class='flag-5'>仿真</b>

    FPGA的详细开发流程

      FPGA 的详细开发流程就是利用 EDA 开发工具对 FPGA 芯片进行开发的过程,所以
    的头像 发表于 07-04 14:37 2680次阅读
    <b class='flag-5'>FPGA</b>的详细<b class='flag-5'>开发</b><b class='flag-5'>流程</b>

    【正点原子DFPGL22G开发板体验】ModelSim 的安装和使用 FPGA开发仿真

    的 SE 版本。首先在【正点原子】ATK-DFPGL22G 开发板工具盘(B 盘)→Modelsim 文件夹下找到 Modelsim 的安装包文件(注意:由于网盘容量有限,我们将 FPGA
    发表于 04-30 17:24