0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Vivado 工具已更新至2020.1.1 v1.30

FPGA之家 来源:FPGA之家 作者:FPGA之家 2020-09-25 14:58 次阅读

描述

在《Zynq UltraScale+ MPSoC 数据手册》(DS925) 中,XAZU7EV-1Q 器件与 XAZU11EG-1Q 器件的最低量产软件和速度规格已从 Vivado 工具 2019.1.1 v1.26 更新至 Vivado 工具 2020.1.1 v1.30。

汽车级 Zynq UltraScale+ 器件的速度文件参数在 2020.1.1 版中已更新,纠正了极端情况下的静态时序问题。

更新包括纠正了 XAZU7EV-1Q 器件和 XAZU11EG-1Q 器件的互连延迟以及速度/温度等级。

解决方案

对于 XAZU7EV-1Q 器件和 XAZU11EG-1Q 器件以及速度/温度等级设计,请使用 Vivado Design Suite 2020.1.1 或更高版本。

对于使用 Vivado 工具 2019.1.1 - 2020.1 构建并已部署的 XAZU7EV-1Q 器件和 XAZU11EG-1Q 器件以及速度/温度等级设计,赛灵思认为,根据赛灵思器件特性,在 Vivado 工具 2019.1.1 - 2020.1 中满足时序约束的大部分设计都具有足够的裕度以供在量产器件中正常运行。

您可通过以下方式使用 Vivado 工具 2020.1.1 或更高版本来评估时序问题对于您使用 Vivado 工具 2019.1.1 - 2020.1 所构建的设计产生的影响:在 Vivado 工具 2020.1.1 或更高版本中,对已完全实现的设计检查点 (.dcp) 文件重新运行时序分析。

评估步骤:

如果您的比特流是使用 Vivado 工程模式生成的,则必须找到已完全实现的 .dcp 文件。

通常,已完全实现的 .dcp 文件应位于如下某一路径中,具体取决于布线后是否已启用 phys_opt_design。

project_myDesign.runs/impl_1/myDesign_routed.dcp

project_myDesign.runs/impl_1/myDesign_postroute_physopt.dcp

例如,如果已完全实现的 .dcp 文件为 myDesign_routed.dcp,则上述命令应如下所示:

#Open the final dcp for the finished design open_checkpoint project_myDesign.runs/impl_1/myDesign_routed.dcp #Report timing report_timing_summary -file myDesign_timing_summary_routed.rpt

如果出现时序违例,则必须在 Vivado 工具 2020.1.1 或更高版本中对设计进行重新编译以达成时序收敛。

用户可以重新运行 route_design 步骤,或者也可以重新运行完整的实现过程。

原文标题:面向 XAZU7EV-1Q 器件和 XAZU11EG-1Q 器件的 Vivado 2020.1.1 量产速度文件更新

文章出处:【微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

责任编辑:haq

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • Vivado
    +关注

    关注

    18

    文章

    789

    浏览量

    65092

原文标题:面向 XAZU7EV-1Q 器件和 XAZU11EG-1Q 器件的 Vivado 2020.1.1 量产速度文件更新

文章出处:【微信号:zhuyandz,微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    Vivado 使用Simulink设计FIR滤波器

    vivado工程 System Generator提供了几种导入方法: 1、直接生成hdl网表文件。 生成的.v或.vhd文件直接例化dsp的IP核,用户可直接将代码文件添加自己的工程内
    发表于 04-17 17:29

    关于MounRiverStudio的改善

    的hex文件进行烧录。 我发邮件咨询过MRS的技术支持,说是下版本的烧录功能会更加自动化,一个工程配置一次就可以一键烧录最新输出文件了。 不过现在MRS v1.30版本更新了,烧录功能终于优化了。
    发表于 02-12 20:33

    使用P4和Vivado工具简化数据包处理设计

    电子发烧友网站提供《使用P4和Vivado工具简化数据包处理设计.pdf》资料免费下载
    发表于 01-26 17:49 0次下载
    使用P4和<b class='flag-5'>Vivado</b><b class='flag-5'>工具</b>简化数据包处理设计

    Vivado 2023.2版本的新增功能

    Vivado在前一段时间更新了2023.2版本,经过一段时间的使用这个版本还是很丝滑的,用起来挺舒服。
    的头像 发表于 01-02 09:39 1122次阅读
    <b class='flag-5'>Vivado</b> 2023.2版本的新增功能

    使用 PCIE 更新 AMD ZYNQ™ 的 QSPI Flash 参考设计

    ZYNQ 的 S_AXI_GP0,以访问 PS QSPI 控制器。 BD 中需要分配 XDMA 的 M_AXI 地址如下,可以用 AMD Vivado™ 的自动地址分配工具完成
    发表于 11-30 18:49

    Vivado2018版本中Modelsim的配置

    Vivado自带的仿真工具在一些基本功能的仿真测试时是可以满足的,但如果你的工程较为庞大,那么自带的仿真工具将有些勉强,除了在数据输出方面的卡顿,在仿真速度上也可能无法接受,这里可以借助第三方仿真
    的头像 发表于 11-08 14:47 707次阅读
    <b class='flag-5'>Vivado</b>2018版本中Modelsim的配置

    Vivado使用指南

    Vivado设计套件,是FPGA厂商赛灵思公司2012年发布的集成设计环境。包括高度集成的设计环境和新一代从系统到IC级的工具,这些均建立在共享的可扩展数据模型和通用调试环境基础上。这也是一个基于AMBA
    发表于 09-06 17:55

    DSView使用进阶—v1.30以及逻辑分析仪的不同模式

    逻辑分析仪
    梦源科技
    发布于 :2023年08月31日 09:41:55

    DSView使用进阶—v1.30以及逻辑分析仪的不同模式

    元器件逻辑分析仪
    梦源科技
    发布于 :2023年08月25日 16:02:22

    Vivado调用Modelsim仿真

    Modelsim是十分常用的外部仿真工具,在Vivado中也可以调用Modelsim进行仿真,下面将介绍如何对vivado进行配置并调用Modelsim进行仿真,在进行仿真之前需要提前安装Modelsim软件。
    的头像 发表于 07-24 09:04 1990次阅读
    <b class='flag-5'>Vivado</b>调用Modelsim仿真

    vivado仿真流程

    vivado开发软件自带了仿真工具,下面将介绍vivado的仿真流程,方便初学者进行仿真实验。
    的头像 发表于 07-18 09:06 2531次阅读
    <b class='flag-5'>vivado</b>仿真流程

    RX111 组 用户手册: 硬件 Rev.1.30

    RX111 组 用户手册: 硬件 Rev.1.30
    发表于 07-10 19:52 0次下载
    RX111 组 用户手册: 硬件 Rev.<b class='flag-5'>1.30</b>

    RX111 组 数据表 Rev.1.30

    RX111 组 数据表 Rev.1.30
    发表于 07-10 19:52 0次下载
    RX111 组 数据表 Rev.<b class='flag-5'>1.30</b>

    瑞萨 iSim:PE v8.4 工具更新

    瑞萨 iSim:PE v8.4 工具更新
    发表于 07-04 19:04 0次下载
    瑞萨 iSim:PE v8.4 <b class='flag-5'>工具</b><b class='flag-5'>更新</b>

    血手滑鼠固件更新及DPI设定工具下载

    血手滑鼠固件更新及DPI設定工具.exe
    发表于 05-30 09:09 0次下载