0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

采用米利型的状态机电路设计

西西 来源:博客园 作者: zxl2431 2020-09-08 14:06 次阅读

1.0 序列检测电路设计

设计一个序列检测器电路,功能是:检测出串行输入数据Sin中的4位二进制序列0101(自左至右输入),当检测到该序列时,输出Out=1;没有检测到该序列时,输出Out=0(注意考虑序列重叠的可能性,如010101,相当于出现两个0101序列)。

经过分析,首先可以确定采用米利型状态机设计该电路。因为该电路在连续收到信号0101时,输出为1,其他情况下输出为0,所以采用米利型状态机。

其次,确定状态机的状态图,该电路必须能记忆所收到的输入数据0、连续收到前两个数据01.。。可见至少要是个状态,分别用S1,S2,S3,S4,再加上电路初始态S0。根据要求可以画出状态图:

采用米利型的状态机电路设计

观察该图可以看出,当状态机处以S2、S4的时候,如果输入Sin = 1,则电路会转移到相同的次态S0,如果输入Sin = 0,则电路会转移到相同的次态S3,且两种情况下输出Out都为0。所以,S2、S4为等价状态,可用S2代替S4,于是得到简化的状态图:

如果用CPLD/FPGA器件实现状态机,则逻辑综合器会自动化简状态机。

利用Verilog HDL描述状态图主要包括:

(1) 利用参数定义语句parameter描述状态机中各个状态的名称,并指定状态编码。

(2) 用时序的always块描述状态触发器实现的状态存储。

(3) 使用敏感表和case语句(也可以采用if-else语句)描述状态转换逻辑。

(4) 描述状态机的输出逻辑。

这个电路我试着用3种方式来描述,看看他们的差别在哪里。

(1) 单个always块描述状态机方法(应该避免的写法)

生成的RTL视图:

采用米利型的状态机电路设计

State模块里面其实就是一个状态机:

采用米利型的状态机电路设计

仿真结果有时会出错:

采用米利型的状态机电路设计

在每个clk上升沿读取数据,可以看到是0101,在285ps时输出oOut应该是高电平,但不是,这是为什么了?再看下面一张图

采用米利型的状态机电路设计

这张是对的,为什么有时对又是错了,如果是这样那就失去了它的意义了。

对序列检测器电路用单个always块的描述的逻辑存在一个隐含的错误,即输出信号oOut的描述存在错误。其原因是:oOut信号是由状态机的当前状态和输入信号共同决定的,它是一个纯组合逻辑电路,如果当前状态不变,而输入信号变了,oOut信号应立即发生变化,而不是等到时钟上升沿来了才变化。因此,单个always块描述状态机的写法仅仅适用于穆尔型状态机。这虽然是个问题,但是跟我出现的问题貌似没有什么直接关系,郁闷。。。继续思考。

在实际应用中,为了消除组合逻辑输出信号中的毛刺,在时序允许的情况下,通常允许米利型状态机中输出信号通过寄存器输出。但是单个的always块的描述方法将状态转换判断的组合逻辑和状态触发器转移的时序逻辑混合编写在同一个always块中,不符合将时序和组合逻辑分开描述的代码风格(Coding Style),而且在描述当前状态时还要考虑下一个状态的逻辑,整个代码的结构不清晰,不利于修改和维护,不利于时序约束条件的加入,不利于综合器对设计的优化。所以不推荐使用单个always块的描述方式。

必须为自己的粗心大意买单,还说输出有时会出错原来状态图都是错了,不经意的一眼,看来不能随便啊。case语句后的 S1:当条件满足时(iSin == 1‘b1)时会跳到S2否则还会停留在S1。改过来看看正确的状态机就不会出错了。太粗心了!

正确的状态机:

采用米利型的状态机电路设计

(2) 两个always块描述状态机的方法(推荐写法)

值得注意的是Next_state = 2’bxx;对状态的默认赋值有3种方式:(1) 全部设置成不定状态(x); (2) 设置成预先规定的初始状态; (3) 设置成FSM中的某一有效状态。设置成不定状态(x)的好处是:(1) 在仿真时可以很好地考察所设计的FSM的完备性,若设计的FSM不完备,则进入任意状态,仿真时容易发现;(2) 综合器对代码进行综合时,会忽略没有定义的状态触发器向量。

生成的RTL视图:

采用米利型的状态机电路设计

和上面的比较了一下,少了个D触发器,这是为什么呢?并且仿真的结果也不一样了。仿真图:

采用米利型的状态机电路设计

当然新的问题也就随之而来:

采用米利型的状态机电路设计

我们可以明显的看到这种写法和上面写法的区别,最重要的是:输出oOut不在是在clk上升沿来的时候才能发生变化,它是一个纯组合逻辑电路只要输入条件满足输出就立马发生改变。随着毛刺的出现,毛刺是一个很重要的问题,很值得我们认真思考。为什么会出现毛刺了,我们可以清楚的看到这时次态是完全由组合逻辑电路决定的,时序电路做的事情仅仅是在clk上升沿来的时候把,把次态赋给现态。在445ps时在S1状态,输出为0、在455ps时在S2状态,输出为0、在465ps时在S3状态,此时就算clk的posedge不来,只要iSin == 1,输出同样会为1,正如上图,但在这里,clk 的上升沿和iSin 的高电平是同时来的,所以,在 iSin 的高电平来的那一瞬间,输出oOut = 1,就在同时状态装换到S2,输出oOut = 0;

这就导致了上面毛刺的产生。怎么解决这个问题呢?我们来看看第三种方法。

(3) 3个always块描述状态机(推荐写法)

分析一下代码,我们很容易看出:第一个always块采用同步时序逻辑方式描述状态转移(在电路框图的中间框),第二个always块采用组合逻辑方式描述状态转移规律(一般是第一个方框),第三个always块描述电路的输出信号,在时序允许的情况下,通常让输出信号经过一个寄存器再输出,保证输出信号中没有毛刺。

综合后的RTL视图,就跟第一版程序是一样的了,又有了D触发器,仿真结果和第一版也是一样的,但是两版代码的风格(Coding Style)是不一样的。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 逻辑电路
    +关注

    关注

    13

    文章

    464

    浏览量

    42157
  • 检测器
    +关注

    关注

    1

    文章

    806

    浏览量

    47237
  • D触发器
    +关注

    关注

    2

    文章

    147

    浏览量

    47327
  • 状态机
    +关注

    关注

    2

    文章

    484

    浏览量

    27123
收藏 人收藏

    评论

    相关推荐

    如何采用状态机”解析UART数据帧

    如果一个系统接收上述“不定长度”的协议帧,将会有一个挑战--如何高效接收与解析。 为简化系统设计,我们强烈建议您采用状态机”来解析UART数据帧。
    的头像 发表于 03-25 14:29 117次阅读
    如何<b class='flag-5'>采用</b>“<b class='flag-5'>状态机</b>”解析UART数据帧

    Verilog状态机+设计实例

    的是有限状态机(Finite-State Machine,FSM),简称为状态机,表示在有限个状态以及这些状态之间的转移和动作等行为的数学模型。 二、分类 在verilog中常使用的
    的头像 发表于 02-12 19:07 1844次阅读
    Verilog<b class='flag-5'>状态机</b>+设计实例

    Spring状态机的实现原理和使用方法

    说起 Spring 状态机,大家很容易联想到这个状态机和设计模式中状态模式的区别是啥呢?没错,Spring 状态机就是状态模式的一种实现,在
    的头像 发表于 12-26 09:39 725次阅读
    Spring<b class='flag-5'>状态机</b>的实现原理和使用方法

    SaberRD状态机建模工具介绍(一)什么是状态机建模

    状态机建模是使用状态图和方程式的手段,创建基于混合信号的有限状态机模型的一种建模工具。
    的头像 发表于 12-05 09:51 443次阅读
    SaberRD<b class='flag-5'>状态机</b>建模工具介绍(一)什么是<b class='flag-5'>状态机</b>建模

    什么是状态机状态机的种类与实现

    状态机,又称有限状态机(Finite State Machine,FSM)或米利状态机(Mealy Machine),是一种描述系统状态变化
    的头像 发表于 10-19 10:27 3747次阅读

    有限状态机分割设计

    有限状态机分割设计,其实质就是一个状态机分割成多个状态机
    的头像 发表于 10-09 10:47 333次阅读

    什么是有限状态机?有限状态机的四要素介绍

    如果一个对象(系统或机器),由若干个状态构成,在某种条件下触发这些状态,会发生状态相互转移的事件,那么此对象称之为状态机
    的头像 发表于 09-17 16:42 1559次阅读

    如何使用FSME来定制状态机

    定制状态机 目前得到的状态机已经能够响应来自外部的各种事件,并适当地调整自己当前所处的状态,也就是说已经实现了状态机引擎的功能,接下来要做的就是根据应用的具体需求来进行定制,为
    的头像 发表于 09-13 16:57 836次阅读
    如何使用FSME来定制<b class='flag-5'>状态机</b>

    如何生成状态机框架

    生成状态机框架 使用FSME不仅能够进行可视化的状态机建模,更重要的是它还可以根据得到的模型自动生成用C++或者Python实现的状态机框架。首先在FSME界面左边的树形列表中选择"Root
    的头像 发表于 09-13 16:54 628次阅读
    如何生成<b class='flag-5'>状态机</b>框架

    自动生成程序状态机代码状态机建模方法

    首先运行fsme命令来启动状态机编辑器,然后单击工具栏上的“New”按钮来创建一个新的状态机。FSME中用于构建状态机的基本元素一共有五种:事件(Event)、输入(Input)、输出(Output
    的头像 发表于 09-13 16:50 688次阅读
    自动生成程序<b class='flag-5'>状态机</b>代码<b class='flag-5'>状态机</b>建模方法

    基于FPGA的状态机设计

    状态机的基础知识依然强烈推荐mooc上华科的数字电路与逻辑设计,yyds!但是数电基础一定要和实际应用结合起来,理论才能发挥真正的价值。我们知道FPGA是并行执行的,如果我们想要处理具有前后顺序的事件就需要引入状态机
    的头像 发表于 07-28 10:02 464次阅读
    基于FPGA的<b class='flag-5'>状态机</b>设计

    状态机要实现哪些内容

    状态机模式是一种行为模式,通过多态实现不同状态的调转行为的确是一种很好的方法,只可惜在嵌入式环境下,有时只能写纯C代码,并且还需要考虑代码的重入和多任务请求跳转等情形,因此实现起来着实需要一番考虑
    的头像 发表于 06-22 14:26 418次阅读
    <b class='flag-5'>状态机</b>要实现哪些内容

    状态机编程实例-状态表法

    上篇文章,使用嵌套switch-case法的状态机编程,实现了一个炸弹拆除小游戏。本篇,继续介绍状态机编程的第二种方法:状态表法,来实现炸弹拆除小游戏的状态机编程。
    的头像 发表于 06-20 09:05 1205次阅读
    <b class='flag-5'>状态机</b>编程实例-<b class='flag-5'>状态</b>表法

    Verilog状态机的类型

    有限状态机(Finite-State Machine,FSM),简称状态机,是表示有限个状态以及在这些状态之间的转移和动作等行为的数学模型。
    的头像 发表于 06-01 15:23 1269次阅读
    Verilog<b class='flag-5'>状态机</b>的类型

    FPGA中有限状态机状态编码采用格雷码还是独热码?

    有限状态机是由寄存器组和组合逻辑构成的硬件时序电路,其状态(即由寄存器组的1和0的组合状态所构成的有限个状态)只可能在同一时钟跳变沿的情况下
    的头像 发表于 04-07 09:52 925次阅读