0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

基于Altera DE0开发板的超声波测距模块设计

西西 来源:博客园 作者:法师漂流 2020-09-07 16:20 次阅读

简单介绍一下:

软件开发平台:quartus ii13.1,modelsim10.2,notepad++

硬件开发平台:Altera DE0开发板

使用模块:HY-SRF05超声波测距模块,YL-40模块(PCF8591芯片),PS2键盘,VGA显示器

系统设计内容:

1)采用数码管循环显示2个人的学号后四位;

2)根据标准键盘输入不同,分别输出正弦波、方波、三角波,根据标准键盘改变频率,并在VGA显示屏上显示。

3)根据标准键盘输入不同,分别利用PCF8591模块测量当前电位器分压值,当前环境温度与光照强度,并在VGA显示屏上显示。三种物理量测量模式可以根据标准键盘输入切换。

4)根据标准键盘输入,利用超声波测距模块测量距离,并在VGA显示屏上显示。

系统整体结构图:

PS2为键盘输入模块,DataProcess为功能实现主模块,vga_module为VGA输出模块,clock_out与seg_out两个小模块共同构成数码管显示学号的功能。

DataProcess模块内部模块如图:

其中I2C4In1模块为PCF8591核心模块,包含三种物理量的测量和波形发生器的全部核心设计。

SupersonicWave模块为超声波测距核心模块,包含超声波测距模块的全部设计。

ToNum模块为VGA显示前置模块,用于转译测量数据为VGA显示可用的数字。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 电位器
    +关注

    关注

    14

    文章

    962

    浏览量

    66003
  • 超声波
    +关注

    关注

    63

    文章

    2805

    浏览量

    136643
  • 数码管
    +关注

    关注

    31

    文章

    1826

    浏览量

    89930
  • VGA
    VGA
    +关注

    关注

    5

    文章

    508

    浏览量

    61979
  • 开发板
    +关注

    关注

    25

    文章

    4400

    浏览量

    93762
收藏 人收藏

    评论

    相关推荐

    超声波测距系统设计

    ? ajmp? returnleft:... ;左测距电路中断服务程序入口? ajmp? return3、计算超声波传播时间在启动发射电路的同时启动单片机内部的定时器T0,利用定时器的计数功能记录
    发表于 10-15 15:25

    制作超声波测距模块

    大家好,我是学机械的。最近我想制作一个超声波测距模块,现有MC9S12XS128开发板和SRF05。希望有高手给我指点下该怎么做。因为没有电气学知识,所以不知如何下手,谢谢帮助。
    发表于 05-26 00:01

    超声波测距原理

    为此,文中尝试以单片机AT89S52为核心,利用一对40 kHz压电超声传感器设计一款体积较小、价格低廉、精度较高、具有温度补偿、实时LCD显示和报警的超声波测距仪。1 超声波
    发表于 04-25 11:32

    Altera DE0 Nano FPGA 开发板 二手 转让 出售

    Altera DE0 Nano FPGA 开发板,买了半年了,想把它卖了换钱,原价600元,打算卖400元,全套,淘宝方式付款,顺丰包邮。有意者电话联系吧,我只是在这儿发布一下消息,不会跟踪这个帖子。131 8850 7113
    发表于 11-11 13:32

    【创龙TMS320C6748开发板试用】基于创龙开发板超声测距模块使用

    利用创龙开发板上的串口1来做超声测距。所采用的超声模块是JSN-SR04T,JSN-SR0T4
    发表于 12-23 18:42

    勇敢的芯伴你玩转Altera FPGA连载73:基于SignalTap II的超声波测距调试之超声波测距原理

    `勇敢的芯伴你玩转Altera FPGA连载73:基于SignalTap II的超声波测距调试之超声波测距原理特权同学,版权所有配套例程和更
    发表于 05-28 22:09

    勇敢的芯伴你玩转Altera FPGA连载74:基于SignalTap II的超声波测距调试之功能简介

    测距实例模块层次本实例的超声波测距模块需要和我们的板子进行装配连接。如图9.5所示,在SF-CY4开发板
    发表于 06-06 16:19

    [MicroPython]TPYBoard开发板STM32F407超声波测距

    。 3. 学习超声波模块测距原理。 4. 学习LCD5110接线方法 5. 学习 F407 Micropython开发板控制超声波模块测距
    发表于 08-18 14:16

    【精英STM32F103 开发板试用体验】 超声波测距功能的实现

    : 2cm~***m· 测量角度:  15度· 触发信号: 10us TTL· 回声信号: TTL高电平持续时间 超声波测距模块开发板的连接关系为:trig--- PB2ecoh -
    发表于 10-25 09:33

    【STM32F103 开发板超声波测距功能的实现

    :***0Hz· 测距范围: 2cm~***m· 测量角度:  15度· 触发信号: 10us TTL· 回声信号: TTL高电平持续时间 超声波测距
    发表于 03-13 17:03

    【MiniStar FPGA开发板】配套视频教程——超声波测距

    本视频是MiniStar FPGA开发板的配套视频课程,课程内容介绍超声波测距模块测距原理及利用FPGA实现对
    发表于 04-16 17:23

    超声波测距原理

    1.超声波测距原理超声波是利用反射的原理测量距离的,被测距离一端为超声波传感器,另一端必须有能反射超声波
    发表于 07-21 07:01

    利用超声波测距来实现报警的功能

    需要做一个智能车库控制系统的小项目,第一个要完成的就是利用超声波测距来实现报警的功能。本人嵌入式初级学徒,以尽量简单详细的方式,为大家介绍。设备1、超声波测距使用的是HC-SR042、
    发表于 08-04 06:11

    超声波测距模块

    关于超声波测距模块的介绍 的的的的
    发表于 11-07 18:07 220次下载
    <b class='flag-5'>超声波</b><b class='flag-5'>测距</b><b class='flag-5'>模块</b>

    RK3568开发板外接超声波传感器测距模块

    RK3568开发板外接超声波传感器测距模块-迅为电子
    的头像 发表于 09-18 15:12 533次阅读
    RK3568<b class='flag-5'>开发板</b>外接<b class='flag-5'>超声波</b>传感器<b class='flag-5'>测距</b><b class='flag-5'>模块</b>