0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

晶圆代工市场保持增长,预计2018-2023年晶圆代工市场复合增速为4.9%

牵手一起梦 来源:金准数据 作者:金准数据 2020-06-03 15:14 次阅读

前言

晶圆代工有着高资本壁垒和技术壁垒,行业十多年没有新的竞争者出现且越来越多现有玩家放弃先进制程追赶。根据金准产业研究团队预测,2019年全球晶圆代工市场约627亿美元,占全球半导体市场约15%。预计2018~2023年晶圆代工市场复合增速为4.9%。2019年中国大陆晶圆代工市场约2149亿元,中国大陆集成电路产业结构将继续由“小设计-小制造-大封测”向“大设计-中制造-中封测”转型,产业结构更趋于合理。

一、先进制程比重不断提升

1.1晶圆代工市场保持增长

根据gartner预测,2019年全球晶圆代工市场约627亿美元,占全球半导体市场约15%。预计2018~2023年晶圆代工市场复合增速为4.9%。

晶圆代工市场保持增长,预计2018-2023年晶圆代工市场复合增速为4.9%

晶圆代工市场占半导体市场约15%

开创专业分工模式,晶圆代工厂在半导体产业链中越来越重要。台积电开创了晶圆代工+IC设计的模式。随着半导体制造规模效应的凸显,以及技术和资金壁垒的提升,IDM模式下的厂商扩张难度加大,沉没成本提高。目前垂直分工模式成为了行业的发展趋势,半导体新进入者大多采用Fabless模式,同时有更多的IDM公司AMDNXPTI等都将走向Fabless或Fablite模式。

晶圆代工市场保持增长,预计2018-2023年晶圆代工市场复合增速为4.9%

晶圆代工创造半导体行业分工模式

在晶圆代工的支持下,IC设计厂迅速崛起。根据ICInsight数据,2009~2019年IC设计行业的收入复合增速为8%,IDM行业的收入复合增速为5%。IC设计的繁荣兴起与先进制程的资本、技术密度提升,使得以台积电为代表的晶圆代工厂(Foundry)在半导体产业链中扮演越来越重要的角色。

预计2018~2023年晶圆代工市场复合增速为4.9%

IC设计厂与IDM的半导体业务收入(十亿美元)

2020年晶圆代工市场重返增长,0.016micron、0.032micron为当前收入占比最高的节点。根据Gartner,2019年全球晶圆代工收入627亿美元,增速为-0.2%。预计2020年增速回到8%。结构上,收入贡献最大的为0.016micron(12/14/16nm),达到97亿美元;其次为0.032micron(22/28/32nm),达到86亿美元。10nm预计26亿美元,7nm预计85亿美元。台积电2019年收入为346亿美元,占比达55%。

预计2018~2023年晶圆代工市场复合增速为4.9%

全球晶圆代工行业收入(亿美元)

根据Gartner,从产能分布角度而言,2019年全球晶圆代工等效8寸片年产能为7838万片,其中0.18micro达到1363万片,其次65nm达到982万片,45nm达到882万片,32nm达到80万片。根据台积电财报,台积电2019年等效8寸片产能超过2700万片,占比约34%。根据拓璞产业研究,2019年,28nm以下制程的营收在前五大厂商(台积电、三星、格芯、联电、中芯国际)在的合计营收中占比约44%。

预计2018~2023年晶圆代工市场复合增速为4.9%

全球晶圆代工行业产能(等价8寸片;千片)

预计2018~2023年晶圆代工市场复合增速为4.9%

2019年全球晶圆代工行业收入分布

预计2018~2023年晶圆代工市场复合增速为4.9%

2019年全球晶圆代工行业产能分布

先进制程比重快速提升。根据ASML在2018年底的预测,先进制程的占比会迅速提高,其中部分现有制程的产线通过设备升级成先进制程产线。ASML预测2025年12寸晶圆的先进制程占比会达到2/3。

全球晶圆代工市场以晶圆厂所在地划分,全球晶圆代工前三大区域分别为中国台湾、中国大陆、韩国。台湾占比达到66%左右,并在先进制程导入和新型产业趋势下引领行业发展。大陆处于追赶角色,比重正在持续提升,从2017年的9.0%提升至2023年的12.9%。韩国三星持续加大投资,因此韩国的份额也保持略有增长。

预计2018~2023年晶圆代工市场复合增速为4.9%

全球晶圆代工区域占比(2019~2023年为预测数据)

2019年中国大陆晶圆代工市场约2149亿元,大陆集成电路向“大设计-中制造-中封测”转型,大陆的设计、制造将起航。2018年中国大陆集成电路产业继续保持快速增长,规模达到6531.4亿元,同比增长20.7%,预计到2020年突破9000亿。中国大陆集成电路产业结构将继续由“小设计-小制造-大封测”向“大设计-中制造-中封测”转型,产业链逐渐从低端向高端延伸,产业结构更趋于合理。

预计2018~2023年晶圆代工市场复合增速为4.9%

中国大陆集成电路市场规模(亿元)

预计2018~2023年晶圆代工市场复合增速为4.9%

中国大陆集成电路市场结构(亿元)

1.2 12寸硅晶圆保持快速增长

长期维度下电子化趋势推进,硅含量不断提升。半导体硅含量代表电子系统中半导体集成电路芯片总价值占电子系统价值的百分比,可用来衡量半导体的渗透率。如果从下游需求分析,硅含量就是下游需求中半导体芯片的渗透率。从长期的维度上来看,电子化是不断推进的趋势,而各类电子产品中的半导体含量过去20年来都在不断上升,简称“硅含量”提升。

预计2018~2023年晶圆代工市场复合增速为4.9%

半导体市场规模

硅片/硅晶圆是制造芯片的核心基础材料,高纯度要求下工序流程复杂、设备参数要求高。Rawwafer在整体成本中的占比并不高(不到10%,芯片制程越先进占比越小),但是,硅晶圆作为芯片制造的基础核心材料能够从量上直接观测行业芯片的产出、先进制程升级的节奏。

硅片/晶圆供给的主要增长来自于12寸(300mm),8寸片以存量产能为主。根据硅片龙头Sumco在2019Q3的指引,2018~2022年12寸硅片需求数量复合增长率预期为4.1%;12寸硅片供给数量复合增长率预期为3.9%,供给增速低于需求增速。从需求侧分拆,硅片几大需求包括Nand、DramLogic和其他。

预计2018~2023年晶圆代工市场复合增速为4.9%

全球硅片需求预测

预计2018~2023年晶圆代工市场复合增速为4.9%

全球12寸硅片供需预测(千片/月)

预计2018~2023年晶圆代工市场复合增速为4.9%

全球12寸硅片需求侧拆分(千片/月)

二、先进制程成为晶圆制造的分水岭

2.1摩尔定律没有失效,但资本壁垒迅速提升

摩尔定律:当价格不变时,集成电路上可容纳的元器件的数目,约每隔18~24个月便会增加一倍,性能也将提升一倍。英伟达黄仁勋认为严格意义上的摩尔定律已经失效,IMEC(比利时微电子研究中心)、ASML等机构为半导体产业规划的蓝图里摩尔定律持续演进。摩尔定律没有失效,但是制程之外的设计与工艺扮演越来越重要的角色,同时资本密集度的迅速提升使得行业壁垒发生变化。

摩尔定律推进,但制程提升贡献比例在下降。根据AMD数据,过去十年制程升级带来更高性能、更低功耗,制程升级为半导体性能提升贡献40%。根据ASML预测,2018~2028年的未来十年半导体性能提升进一步加速,制程提升的贡献为30%左右,剩下增长来自于诸如3DStacking、多核架构、内存整合、软件系统、电源管理等多方面的升级。因此,在未来的芯片性能提升中,架构、系统、软件将扮演越来越重要的角色。

晶圆制造行业发展趋势面临DieSize限制和成本限制。过去十年,CPUGPU的DieSize呈现上升趋势,但受制于12寸晶圆产线,DieSize的增长是不可持续的。同时,相同DieSize的晶圆产线,单位面积成本也不断攀升,5nm的单位面积成本是45nm的5倍。

CPU/GPU芯片DieSize呈现上升趋势

先进制程的持续升级带来巨额的成本。根据IBS,3nm芯片的设计费用约5~15亿美元,工艺开发费用约40~50亿美元,兴建一条3nm产线的成本约150~200亿美元。3nm芯片仅比5nm芯片提升15%性能、降低25%功耗。根据IMEC论文,7nm以后,每一代升级单个晶圆的工艺成本(ProcessCost)提升幅度达到30%。同样面积的硅晶圆,即使通过微缩增加了晶体管的数量,生产成本也会相应增加。

预计2018~2023年晶圆代工市场复合增速为4.9%

2019年单片晶圆价格预估(等价8寸片计价,美元)

预计2018~2023年晶圆代工市场复合增速为4.9%

设计成本:先进IC设计成本快速增加

预计2018~2023年晶圆代工市场复合增速为4.9%

投资金额:100K产能对应投资额要求(亿美元)

预计2018~2023年晶圆代工市场复合增速为4.9%

工艺成本:7nm之后单位芯片工艺成本每代增加30%

资金、技术壁垒提升,先进制程的供给端向寡头垄断发展,先进制程供不应求。

创新推动先进制程需求。根据台积电的产品组合,最先进制程主要是为logic和部分RF提供,主流的成熟制程能覆盖大部分其他应用领域。随着创新不断升级,5GAI物联网等需求提升,创新导入时使用的制程工艺从成熟向先进工艺升级。

供给受限于有限的产能。目前先进制程的供给端只有台积电、三星、英特尔。英特尔为IDM,自家消费级10nm产品产能不足、市场缺货。受益于5G、智能手机、HPC、AIoT等需求,7nm及以下先进制程需求旺盛。台积电为先进制程的核心晶圆代工厂,目前10nm工艺客户已经超过10家,7nmEUV客户至少5家(苹果、海思高通、三星、AMD),6nm客户除了7nmEUV的5家还多了博通联发科。台积电7nm产品持续满产,多个客户争抢产能,由台积电进行产能配置。

2.2晶圆制造行业技术复杂度不断提升

摩尔定律引领半导体产业,实现产业持续升级需要贯穿整条产业链,包括上游(设备如光刻机厂商ASML)、晶圆制造(台积电、英特尔、三星)以及下游(IC设计如苹果、AMD、海思、高通、联发科等)等环节的厂商协同。

光刻机从DUV到浸入式DUV,再升级成EUV,成为推进摩尔定律的重要环节。根据ASML预测,晶圆代工领域节点会持续升级;内存DRAM领域也将使用EUV;闪存Nand等向3D堆叠发展,不需要用EUV升级。其他设备龙头厂商如AMAT、LamResearch、KLATencor等也纷纷布局先进制程节点相关设备。

预计2018~2023年晶圆代工市场复合增速为4.9%

ASML预测半导体制程升级规划

预计2018~2023年晶圆代工市场复合增速为4.9%

先进制程设备端布局

晶体管结构创新,形态更加复杂。2011年,英特尔在22nm时引入FinFET,减少横向尺寸,增加单位面积设备密度,同时增加鳍的高度。三星计划于2021~2022引入GAA,应用于其3nm制程。台积电除了GAA晶体管结构之外,也进行其他方向布局。

预计2018~2023年晶圆代工市场复合增速为4.9%

晶体管结构变化

预计2018~2023年晶圆代工市场复合增速为4.9%

下一代晶体管结构

先进封装技术是高性能芯片的重要基础之一。硅通孔(TSV)的三维封装技术在超越摩尔定律中扮演重要角色。先进封装技术提升了互联密度和信号传输速率。在已经量产的2.5DIC领域,台积电主推CoWoS工艺,英特尔主推EMIB工艺,三星主推FOPLP。未来通过难度更高的TSV技术,台积电将进一步量产SoIC、WoW等3DIC,英特尔推出Foveros技术,三星推出3DSiC。

预计2018~2023年晶圆代工市场复合增速为4.9%

台积电先进封装技术一览

2.3行业高壁垒、高集中、少进入者

先进制程呈现资金、技术壁垒不断提高的趋势,行业格局逐渐出清。从制造环节而言,行业资金、技术壁垒极高,不仅十多年来没出现新的竞争玩家,而且随着制程分水岭的出现,越来越多的参与者从先进制程中“出局”。格罗方德在2018年宣布放弃7nm研发,联电在2018年宣布放弃12nm以下(即7nm及以下)的先进制程投资,因此保持先进制程研发的玩家仅剩行业龙头台积电、三星、英特尔等,以及处于技术追赶的中芯国际。

预计2018~2023年晶圆代工市场复合增速为4.9%

晶圆厂制程升级规划

高资金壁垒和技术壁垒,行业十多年没有新的竞争者出现且越来越多现有玩家放弃先进制程追赶。庞大的资金投入使得中小行业玩家望而却步,复杂越来越高的工艺和技术成为行业固有护城河,并且随着“摩尔定律”推进,每一个制程节点都举步维艰,拥有高端制程能力的公司屈指可数。

行业呈现寡头垄断,台积电强者愈强。根据拓璞产业研究,2019年全球十大晶圆代工厂分别为:台积电、三星、格芯、联电、中芯国际、TowerJazz、H-Grace、VIS、PSC、DongbuHiTek。台积电市占率超过50%,在整个晶圆代工行业,台积电不管是技术领先性还是优质客户和订单的选择,都是保持比较大的优势。

目前能够提供7nm及7nm以下先进制程工艺(对应英特尔10nm)的厂商仅有台积电、英特尔和三星。根据拓璞产业研究,2019年台积电先进制程市场份额为52%,英特尔约25%,三星约23%。

预计2018~2023年晶圆代工市场复合增速为4.9%

先进制程产能分布

晶体管密度不断提升,但不同厂商命名规格有区别。英特尔的10nm工艺晶体管密度介于台积电7nm和7nmEUV之间。2019年,台积电7nm制程投资大概100~110K产能,客户较多。三星7nmLPP(EUV)工艺产能大概10K,三星的晶圆代工业务客户主要是三星、高通、IBM。根据拓璞产业研究,2020年台积电5nm产能预计60~70K,同时三星预计也会推出其5nm工艺。

预计2018~2023年晶圆代工市场复合增速为4.9%

不同制程节点晶体管密度(标准化工艺节点以intel10nm为参考节点)

台积电积极推动先进制程,引领全行业。根据制程性能提升幅度上看,28nm、16nm、7nm等具有显著提升幅度的节点,一般具有相对较长的寿命;而提升幅度较少的节点一般为过渡节点。台积电6nm预计在2020Q1进行风险试产,预计2020年年底量产;5nm进入爬坡提升良率阶段,预计2020年3月开始量产。台积电的5nm逻辑密度将是之前7nm的1.8倍,SRAM密度是7nm的1.35倍,可以带来15%的性能提升,以及30%的功耗降低。

预计2018~2023年晶圆代工市场复合增速为4.9%

台积电历代制程PPA(power、performance、Arereduction)环比提升幅度

英特尔在10nm制程上稍微落后,随后7nm预计在2021年量产,并持续进行优化。英特尔制程升级历史一般是两年一次,但从2014年的14nm到2019年的10nm,出现明显的升级放缓。目前,英特尔的10nm工艺已经量产,但存在缺货问题。英特尔预计2020年推出10nm+,2021年推出7nm及10nm++,2022年推出7nm+,2023年推出7nm++。英特尔的晶圆厂主要用于生产自家CPU。

英特尔2020年capex提升至170亿美元,其中一半用于7/5nm和扩大Fab工厂。英特尔的10nm还是采用浸入式DUV设备,7nm才开始导入EUV设备。英特尔的芯片从2018H2开始就供不应求,因此2019年capex为162亿美元,产能增长25%。

预计2018~2023年晶圆代工市场复合增速为4.9%

英特尔未来制程升级规划

预计2018~2023年晶圆代工市场复合增速为4.9%

英特尔服务CPU产品路线

三星积极投入晶圆代工领域,加快制程升级。三星在2017年将晶圆代工业务部门从系统LSI中独立出来,主要为全球客户制造非存储芯片。截止2019年底,三星晶圆代工专属线包括6条12寸线和3条8寸线。提供包括65纳米、45纳米、32/28纳米HKMG、14纳米FinFET、10纳米FinFET、7纳米FinFETEUV工艺,客户包括苹果、高通、超微半导体、赛灵思、英伟达、恩智浦(NXP)以及韩国本土公司Telechips等。三星计划在2020年底试产3nm工艺,并专用GAAMCFET工艺技术。三星计划在未来十年(至2030年)共投资约1150亿美元,用于争取晶圆代工行业主导权。

预计2018~2023年晶圆代工市场复合增速为4.9%

三星电子晶圆代工制程发展路径

2.4半导体需求三驾马车共振,国产替代迎来机遇

创新趋势不变:创新是决定电子行业的估值与持续成长的核心逻辑,本轮创新由5G驱动的数据中心、手机、通讯等历史上第一次共振。

中期供需仍紧张:全球半导体投资关注中期供需的核心变量——需求与资本开支,疫情对短期需求会有一定扰动,但中期三大需求不受本质影响,而全球资本开支截止2019Q3末还没有全面启动,并有部分企业由于疫情再次递延资本开支,中期供需缺口有望继续放大。供给方面,全球资本开支除龙头台积电外尚未全面启动,我们预计本次疫情中部分企业将再度进行资本开支递延,中期供需缺口有望进一步放大,中期景气度有望继续保持向上趋势!

国产替代历史性机遇开启,2019年正式从主题概念到业绩兑现,2020年有望继续加速。逆势方显优质公司本色,这是19年行业下行周期中A股半导体公司迭超预期,优质标的国产替代、结构改善逐步兑现至报表是核心原因。进入2020年,我们预计在国产化加速叠加行业周期景气上行之下,A股半导体龙头公司们有望延续高增长表现。

数据中心:数据中心回暖,受益于5G持续发展。在目前服务器均价已经企稳的同时,我们认为在未来随着5G对其的拉动,内部升级将不间断,5G网络带来的传输速度将会较4G有质的提升,服务器将会在应对存储方面呈几何倍数增长的需求的同时,还需要保持高带宽、低时延、高稳定性的要求,对于服务器而言无疑是在性能方面提出了更高的要求。服务器或有望在未来实现更进一步的价值量的提高,达到价量齐升的平台。

全球服务器行业已经历经多年,其出货量从2013年至2018年也经历了起起伏伏。2018年,全球服务器市场出货量再次实现了超越10%的增长,主要源自于云计算、大数据、AI等新一代技术对互联网企业持续拓展基础架构规模的推动,同时也刺激了传统企业用户的采购需求。2019年,企业买家和超大规模公司通过ODM购买的需求比前几个季度减少,这影响了第一季度的市场增长速度,但用户对高配置服务器的需求将进一步支持平均售价的增长。

预计2018~2023年晶圆代工市场复合增速为4.9%

全球服务器年出货量统计

随着IoT、AI(尤其智能安防)和智能驾驶时代到来,边缘计算的快速成长带来的性能需求将成为中长期半导体的成长驱动!数据中心对服务器的需求成为整体服务器市场出货成长的关键。我们预计近两年来数据中心服务器的需求将在2020年前完成规划,将继续维持每年二至三成的年增率,推动服务器出货量及市场的增长。

预计2018~2023年晶圆代工市场复合增速为4.9%

IDC服务器装机量增长趋势(千台)

预计2018~2023年晶圆代工市场复合增速为4.9%

云计算资本开支金额(百万USD)

根据IDC以及Gartner对于过往季度的服务器出货量以及对未来的服务器出货量的预测进行调整后,我们预计在2019年后服务器行业将受到5G时代的冲击,实现长期且稳定的出货量的增长,同时由于服务器产品的不断升级,我们也预计其单价将在未来逐步增长。金准产业研究团队预计全球服务器的出货量将会在2020年达到1220万台,而随着5G的逐步铺设,在2021年将会继续保持约10%的增长,且之后预计将以每年7%~8%的增速稳定且持久的增长。

预计2018~2023年晶圆代工市场复合增速为4.9%

全球服务器自2019年后的出货量预测(万台)

对于中国内服务器需求及出货量而言,金准产业研究团队认为中国作为5G建设最快国,服务器方面的建设也将遥遥领先,同时由于中国在该方面进度略慢于海外,故在2021年之前服务器出货量将维持高于全球增速的平台之上,之后逐步恢复,与全球的服务器增速趋同。

预计2018~2023年晶圆代工市场复合增速为4.9%

中国X86服务器出货量及预测

预计2018~2023年晶圆代工市场复合增速为4.9%

中国X86服务器市场规模

预计2018~2023年晶圆代工市场复合增速为4.9%

数据中心的新SSD储存需求(ZB/年)

预计2018~2023年晶圆代工市场复合增速为4.9%

数据中心对300mm硅片的需求(千片每月)

根据Gartner以及IDC的数据对服务器进行了简单的分类:高性能运算服务器以及传统服务器。根据预测,在接下来数年内服务器市场的增长将主要以可支撑AI计算方面的高性能服务器为主,同时也将带动CPU/GPU、以及Dram的高增长。

手机:5G放量“前夜”,单机硅含量提升。中国手机市场正值5G放量的“前夜”。IDC公布全球2019年Q3手机出货量为3.58亿部,同比增长1%,智能手机的市场正在逐渐回暖。按照市场份额来看,排名第一的为三星,三季度出货7820万,同比增长8.3%。华为排名第二,三季度出货6660万,同比增长28.2%。苹果三季度出货4660万,同比下滑0.6%。

预计2018~2023年晶圆代工市场复合增速为4.9%

全球智能手机出货量(百万台)

预计2018~2023年晶圆代工市场复合增速为4.9%

全球智能手机按品牌出货量(百万台)

5G芯片备货量超预期,逐渐向中低端渗透。根据IDC预测,2019年5G手机出货量为670万部,份额仅为0.5%。到2023年,5G手机出货量将达到整体手机出货量的26%。各家5G芯片供应商纷纷加足马力备货,金准产业研究团队预计2020年全球5G手机出货量为2-3亿部。

台积电7纳米制程产能在2019年第3季开始全线爆满的盛况,2020年上半年都可能出现产能供不应求的局面。联发科、高通、三星电子及海思等5G芯片供应商,都不断要求上、下游协力厂大举扩充产能,并有效拉高公司内外的库存水平。我们看到5G芯片的备货开始向中低端加速渗透。

预计2018~2023年晶圆代工市场复合增速为4.9%

5G芯片备货量(百万颗)

移动数据传输量和传输速度的不断提高主要依赖于移动通讯技术的变革,及其配套的射频前端芯片的性能的不断提高。在过去的十年间,通信行业经历了从2G到3G再到4G(FDD-LTE/TD-LTE)两次重大产业升级。在4G普及的过程中,全网通等功能在高端智能手机中得到广泛应用,体现了智能手机兼容不同通信制式的能力。

根据QYR Electronics Research Center的统计,从2011年至2018年全球射频前端市场规模以年复合增长率13.10%的速度增长,2018年达149.10亿美元。受到5G网络商业化建设的影响,自2020年起,全球射频前端市场将迎来快速增长。2018年至2023年全球射频前端市场规模预计将以年复合增长率16.00%持续高速增长,预计2023年接近313.10亿美元。

预计2018~2023年晶圆代工市场复合增速为4.9%

全球射频前端市场规模预测(亿美元)

随着消费者对高质量拍照、录像的需求日益增加,摄像头模组的进化是智能手机发展的必经之路。伴随着双摄、三摄渗透率的提高,市场将会开启新的成像变革。根据Statista的预测,2018年三摄渗透率仅为1.6%,而到了2020年三摄的渗透率将达到24.5%。在采用三摄的机型上,安卓阵营在今明两年或比苹果更加积极。

预计2018~2023年晶圆代工市场复合增速为4.9%

全球手机摄像头模组消费量(亿颗)

预计2018~2023年晶圆代工市场复合增速为4.9%

国内手机摄像头模组产量(亿颗)

旗舰机种的像素不断升级,由2000万逐渐升至4000万。前置摄像头也逐渐由800万升级至2400万,拍照效果提升。此外,国内高端机种的镜头也逐渐从5P升级到6P,以便实现超级大广角,大光圈,光学变焦也不断升级至三倍,使得夜拍效果逐渐加强。IDC预计2018年后置镜头的6P渗透率约为40%。

智能手机创新进一步提升单机硅含量。金准产业研究团队预计5G智能手机升级将拉动需求,DRAM、camera硅含量翻倍,Nand硅含量增长至8倍。假设高端手机为4亿部不变,5G升级促进高端手机所消耗的硅片将从430K/M提升至533K/M。

通讯:5G基站建设进入放量期。5G由于需要提供更快的传输速度,所使用的频率将向高频率频道转移,从而无法避免的会将其信号的衍射能力(即绕过障碍物的能力)降低,而想要将其解决的办法既是:增建更多基站以增加覆盖。

基建建设带来的放量降价是每一轮通信周期的必由之路,行业必然经历一波洗礼,回顾2G-4G的历史,具有技术及资本护城河,掌握渠道优势的公司走的更长,需要深入评估每个赛道的竞争格局和公司治理能力。

金准产业研究团队预测,5G宏基站的数量在2026年预计将达到475万个,是2017年底4G基站328万个的1.45倍左右,配套的小基站数量约为宏基站的2倍,约为950万个,总共基站数量约为1425万个。PCB是基站建设中不可缺少的电子材料,如此庞大的基站量,将会产生巨大的PCB增量空间。

预计2018~2023年晶圆代工市场复合增速为4.9%

宏基站年建设数量预测

结语

金准产业研究团队认为,华为事件加速国产链重塑,几乎所有科技龙头,甚至部分海外龙头也在加快国产链公司导入。过去我们见证了通信、家电、工程机械、光伏、高铁、消费电子等核心战略领域从无到有,从弱到强的过程,半导体行业已经具备市场、系统、下游、技术突破等成长关键要素。可以很乐观的预计,2020年国内晶圆代工-封测产业链将会继续加速发展。

责任编辑:gt

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 集成电路
    +关注

    关注

    5302

    文章

    10449

    浏览量

    352056
  • 半导体
    +关注

    关注

    327

    文章

    23975

    浏览量

    200892
  • 晶圆
    +关注

    关注

    52

    文章

    4393

    浏览量

    126244
收藏 人收藏

    评论

    相关推荐

    英锐恩知芯社:一片可以切出多少芯片?# 芯片

    芯片
    英锐恩科技
    发布于 :2023年12月15日 15:52:22

    电池化成设备市场浅析及选型参考

    一、背景 图-1:新能源 随着手机、数码产品和电动车的普及,锂电池在生活当中扮演的角色越来越重要。电池生产过程中后段工序最为重要的电池化成设备的需求也与日俱增。预计未来全球锂电设备市场规模快速增长
    发表于 11-24 11:00

    #芯片 # 1nm芯片传出新进展,代工先进制程竞赛日益激烈!

    半导体
    深圳市浮思特科技有限公司
    发布于 :2023年11月23日 14:41:28

    像AD8233一样的封装在PCB中如何布线?

    请问像AD8233一样的封装在PCB中如何布线,芯片太小,过孔和线路都无法布入,或者有没有其他封装的AD8233
    发表于 11-14 07:01

    充电桩市场简析、分类及选型参考

    一、充电桩市场信息 新能源汽车渗透率持续攀升,驱动充电桩行业发展: 自2020下半年以来,中国新能源汽车市场一直保持高速增长
    发表于 10-17 17:12

    代工背后的故事:从资本节省到品质挑战

    北京中科同志科技股份有限公司
    发布于 :2023年10月12日 10:09:18

    不容小觑!碳化硅冲击传统硅市场

    碳化硅
    北京中科同志科技股份有限公司
    发布于 :2023年10月10日 09:20:13

    级封装技术崛起:传统封装面临的挑战与机遇

    北京中科同志科技股份有限公司
    发布于 :2023年07月06日 11:10:50

    硅谷之外的繁荣:中国半导体产业在IC设计、制造和封装测试领域的辉煌征程

    北京中科同志科技股份有限公司
    发布于 :2023年06月27日 10:52:55

    绕不过去的测量

    YS YYDS
    发布于 :2023年06月24日 23:45:59

    MLCC龙头涨价;车厂砍单芯片;台积电28nm设备订单全部取消!

    ,MCU市场价格在2023开年由于终端市场需求不佳、库存仍高,多数MCU业者降价压力犹在。目前就库存水位来看,MCU将去化到第3季的基调已经确定。至于在价格部分,MCU由于多数
    发表于 05-10 10:54

    芯片行业,何时走出至暗时刻?

    电子、恩智浦、意法半导体和安森美等芯片大厂对汽车赛道的深入布局和规划。 代工迎来最冷一季? 台积电:下调预期,终止连续13增长势头 台
    发表于 05-06 18:31

    2023最强半导体品牌Top 10!第一名太强大了!

    ,成立于1987,是当时全球的第一家专业积体电路(集成电路/芯片)制造与服务兼硅代工的大型跨国企业。 台积电占据了全球芯片代工
    发表于 04-27 10:09