0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

采用单芯片FIFO设计的GPIB接口专用芯片TNT4882的特性及应用研究

牵手一起梦 来源:国外电子元器件 作者:黄崧,储飞黄 2020-05-18 09:35 次阅读

1、概述

TNT4882是美国NI公司的一款单芯片、高速、听/讲功能的兼备的GPIB(General purpose interface bus)接口专用芯片。它内部集成了Turbo488(高速传输电路)以及NAT4882(IEEE488.2兼容电路),并拥有诸多新的特性,能够兼容ANSI IEEE Standard 488.1和ANSI IEEE Standard 488.2规范,因而可以为GPIB系统提供一套完整的解决方案。为了达到更高的传输速率。TNT4882采用了单芯片FIFO缓存电路设计,其内置的16个增强型IEEE 488.1兼容收发器可以直接连接GPIB总线,以实现HS488传输模式(一种新的GPIB高速传输模式)。在兼容性方面,它与以往使用的μPD7210、TMS9914A中的寄存器设置完全兼容,用户可以将以前所用的代码直接移植到TNT4882上。同时,它所包含的Turbo488电路及其诸多新特性也可以在一定程度上减少软件的开销。另外,TMT4882还具有灵活的CPU接口,可以方便地连接各种16位或8位微处理器,并将CPU发出的消息和信号转化成相应的GPIB消息和信号,以使实现GPIB设备和CPU及内存之间的通信

采用单芯片FIFO设计的GPIB接口专用芯片TNT4882的特性及应用研究

2、内部结构和外围时钟电路

2.1 TNT4882的内部结构

TNT4882的内部结构以其工作模式的不同而有所不同。工作模式可分为单芯片模式和双芯片模式两种,而双芯片模式又可分为Turbo+7210模式和Turbo+9914模式。工作模式的选择和转换由寄存器的设置来决定,不同的工作模式决定着FIFO与GPIB的连接方式、寄存器的状态和访问属性。

在双芯片工作模式下,NAT4882相当于μPD7210(Turbo+7210模式)或TMS9914A(Turbo+9914模式),但功能更加强大。此时的结构及工作过程如图1所示。当前GPIB写数据时,CPU首先将数据写入TNT4882的FIFO里,并由传输状态机将数据从FIFO传至NAT4882电路,再由NAT4882电路将数据传送至GPIB上;而当从GPIB上读数据时,过程则正好相反。

在单芯片工作模式下,FIFO可直接与GPIB相连而不需要传输状态机,其结构如图2所示。此时,TNT4882中寄存器的设置与Turbo+7210模式类似。

由于单芯片模式采用的是最简单且最快速的结构,且是NI公司推荐的TNT4882工作模式,因此,本文主要讨论这种模式。

2.2 外围时钟电路

TNT4882工作时需要40MHz的驱动时钟,产生时钟信号的方法有两种:一是采用40MHz的CMOS晶振,将晶振的输出接至TNT4882的XTALI管脚,并将XTALO管脚悬空;二是采用如图3所示的外围时钟电路。

3、寄存器简介

TNT4882内部寄存器的数量和种类都很多,而且在不同的工作模式下,寄存器的情况又不尽相同。本文只对单芯片模式以及与最基本的GPIB操作有关的一些寄存器进行简要地介绍。

需要注意的是,TNT4882内部的寄存器都是8位的。所以,每个寄存器的控制字也必须是8位。寄存器的地址通常是TNT4882的基地址加上各个寄存器所对应的偏移量。TNT4882的基地址由硬件电路决定,而每个寄存器对应的偏移量则是固定的,其范围从0至0x1F。寄存器有三种类型:只读、只写和读/写。表1列出了一些比较重要的寄存器类型。

表1 TNT4882的部分寄存器

4、软件编程

TNT4882是一种需要软件编程的集成电路。其内部各个寄存器的状态决定或标志着芯片及GPIB的工作状态。在GPIB接口设计中,只有通过编程对寄存器进行正确设备,才能实现对GPIB的各种操作。以下是编程的基本思路和注意事项。

4.1 芯片初始化

最典型的初始化程序需完成以下工作:

(1)复位TNT4882器件中的Turbo488电路;

(2)将TNT4882设置成Turbo+7210模式;

(3)将TNT4882设置成单片机模式;

(4)使Local Power-On信号有效;

(5)配置TNT4882以为GPIB操作作准备,其具体任务是设置TNT4882的GPIB地址、设置初始串行轮询响应、设置初始并行轮询响应、清除或设定中断、设置GPIB握手参数等。

(6)清除Local Power-On信号,开始GPIB操作。

上述工作只是编程时考虑实现各种功能的基本原则,设计得可以根据自己的实际需要,对TNT4882进行适当的编程,而没有必要完成每种功能的设置。

4.2 GPIB数据传输

用TNT4882进行GPIB数据传输时,需经历初始化、数据传输和传输终止三个阶段,传输初始化步骤如下:

(1)正确设置TNT4882的地址模式。在GPIB写操作之前,应将TNT4882设置成讲状态;而在GPIB读操作之前,要将TNT4882设置成听状态;

(2)清空FIFO,为数据传输作准备;

(3)向配置寄存器中写入正确的控制字以设定传输参数

(4)将欲传输字符个数的二进制补码写入计数寄存器;

(5)如果需要的话,可以设置DMA参数并使用DMA方式进行传输;

(6)根据需要,合理设置或清除中断;

(7)向TNT4882发送传输命令。

在传输初始化完成之后,就可以在系统内存和GPIB之间传输数据了。此时,所要考虑的只是如何协调内存和FIFO间的工作,而TNT4882会自动管理FIFO与GPIB间的数据传输。通常可以选择两种传输方式:DMA方式和程序控制方式。若使用DMA方式,则必须在传输初始化时对TNT4882进行正确设定。如果使用程序控制方式,则需设计控制程序来对数据传输进行管理。控制程序的基本流程如图4所示。

当数据传输终止时,还应进行以下几步操作:

(1)向TNT4882发送停止命令;

(2)若使用了DMA方式,还需禁止外部的DMA控制器

(3)清除所有的中断设置。

5、结束语

使用TNT4882时,除了要求外接一个40MHz的外部时钟以外,几乎不需要其它任何辅助电路,因而大大简化了接口电路的设计和开发。通过笔者的实验证明:TNT4882是一款廉价的、高性能的GPIB接口专用芯片,是GPIB接口设计的理想选择。

责任编辑:gt

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 芯片
    +关注

    关注

    446

    文章

    47705

    浏览量

    408882
  • 寄存器
    +关注

    关注

    30

    文章

    5021

    浏览量

    117631
  • fifo
    +关注

    关注

    3

    文章

    369

    浏览量

    43049
收藏 人收藏

    评论

    相关推荐

    NI GPIB产品的优异特性分析

    能很好地工作。  NI是依托专门设计的GPIB ASIC技术实现产品性能的提升。NI硬件都是采用NI TNT GPIB ASIC芯片。除了专
    发表于 11-14 16:54

    基于DSP的图像处理系统的应用研究

    基于DSP的图像处理系统的应用研究摘要   本文介绍了一种基于FPGA+DSP结构的具有通用性、可扩充性的高速数字图像处理系统硬件平台。重点介绍了以高速数字信号处理器TMS320DM642
    发表于 12-19 11:05

    GPIB接口扩展

    各位大侠你们好,小弟求助,有没有谁在DSP2812上面扩展过GPIB接口的,我在网上查的资料可以用TNT4882这款芯片,不知道该如何下手,电路如何连接?
    发表于 11-20 09:25

    专用键盘接口芯片一种CPLD实现方案

    (表明ROW、COL、DAT中哪一个被按下);若A0A1="11",则不输出FIFO RAM中的任何数据。3 专用键盘接口芯片核心部分的状态描述与实现为了实现上述
    发表于 12-03 15:24

    DVI接口在数字电视中的应用研究

    测试时,误码率指标应达到10-9,即10亿bit允许出现一个误码,因此在性能测试时必须保证一定的测试时间图3 DVI接口系统工作流程对于DVI接口在数字电视、平板电视上的应用研究,更为关键的是EDID
    发表于 12-12 10:18

    采用CPLD实现ADS8323与高速FIFO接口电路

    FIFO芯片芯片的存储空间是2K×9 bit,读写时间最小是10ns。其主要的控制管脚功能如表1所示。3.接口电路的CPLD实现通过上面的介绍,可以大致归纳出
    发表于 05-23 05:01

    GPIB 芯片TNT4882 在多路程控电源中的应用

    介绍一种GPIB 总线接口芯片TNT4882 及其在多路程控电源中的应用,包括TNT4882 的引脚排列、内部结构、功能和通信方式、与微处理
    发表于 05-15 14:26 59次下载

    基于PCI接口芯片外扩FIFO的FPGA实现

    介绍了PCI 9054 接口芯片的性能及数据传输特点,提出了一种基于PCI 9054 外扩异步FIFO(先进先出)的FPGA(现场可编程门阵列)实现方法。由于PCI 9054 内部FIFO
    发表于 01-06 15:20 44次下载

    MC34262系列PFC控制芯片应用研究

    MC34262系列PFC控制芯片应用研究 摘要:介绍了MC34262系列PFC控制芯片的性能和特点,着重研究在APFC应用中如何进行电路
    发表于 07-20 15:13 3018次阅读
    MC34262系列PFC控制<b class='flag-5'>芯片</b>的<b class='flag-5'>应用研究</b>

    GPIB接口总线简介及应用

      GPIB(General-Purpose Interface Bus)-通用接口总线   大多数打印机就是通过GPIB线以及GPIB接口
    发表于 07-28 17:18 7724次阅读

    FIFO芯片IDT72V3680的功能特点及应用

    1 FIFO概述   FIFO芯片是一种具有存储功能的高速逻辑芯片,可在高速数字系统中用作数据缓存。FIFO通常利用双口RAM和读写地址
    发表于 08-06 10:22 5035次阅读
    <b class='flag-5'>FIFO</b><b class='flag-5'>芯片</b>IDT72V3680的功能特点及应用

    什么是gpib_gpib接口

    本内容简单概述了什么是gpibgpib接口gpib总线的相关知识。GPIB可以用作多台仪器通信的平行总线。
    发表于 12-21 11:56 4.4w次阅读

    MC34262系列PFC控制芯片应用研究

    MC34262系列PFC控制芯片应用研究,个人收集整理了很久的资料,大家根据自己情况,有选择性的下载吧~
    发表于 10-28 10:25 6次下载

    北斗卫星 | 国产化北斗专用芯片样机的设计与实现

    为提高北斗导航定位设备的独立自主性能和通用性能,开展基于国产化北斗专用芯片应用研究和整机方案的设计与研制,通过国产化北斗专用芯片遴选、核心
    的头像 发表于 05-27 15:52 5871次阅读

    GPIB-RS232C接口转换设计及应用概述

    ,已研制了许多专用大规模集成电路芯片来帮助设计者实现GPIB和RS232C接口,且这些芯片都与微处理器的内部总线相容。标准
    发表于 03-15 16:11 4015次阅读
    <b class='flag-5'>GPIB</b>-RS232C<b class='flag-5'>接口</b>转换设计及应用概述