0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

I2C串行扩展通信的特点及实现IP核的设计

牵手一起梦 来源:单片机与嵌入式系统应用 作者:李明峰,李沁遥 2020-04-07 09:54 次阅读

由于CPLD数字设计结构化的趋势,将出现针对CPLD不同层次的IP(Intellectual Property)核。各个IP核可重复利用,可大大提高设计能力和效率。国外各大公司都推出了专门的IP核,我国也迫切需要发展自己的IP核。本文针对I2C的主方式串行扩展通信的特点,详细给出设计过程和结果。

1、IP核简介

IP核是指:将一些在数字电路中常用但比较复杂的功能块,如FIR滤波器SDRAM控制器、PCI接口等等设计成可修改参数的模块,让其它用户可以直接调用这些模块,以避免重复劳动。随着CPLD/FPGA的规模越来越大,设计越来越复杂,使用IP核是一个发展趋势。许多公司推荐使用现成的或经过测试的宏功能模块、IP核,用来增强已有的HDL的设计方法。当在进行复杂系统设计的时侯,这些宏功能模块、IP核无疑将大大地减少设计风险及缩短开发周期。使用这些宏功能模块、IP核,就会将更多的时间和精力放在改善及提高系统级的产品方面,而不需要重新开发现成的宏功能模块、IP核。我国IP核库的建设已相当迫切,它是集成电路产业发展的一个重要目标。

2、I2C串行通信特点简介

Philips公司推出的I2C软、硬件协议十分巧妙,在单主方式的I2C总线系统中,总线上只有一个单片机,其余都是带I2C总线的外围器件。由于总线上只有一个单片机成为主节点,单片系统永远占据了总线,不会出现竞争,主节点不必有自己的节点地址。只要每个外围器件有自己的器件地址,两根I/O口线SCL(时钟线)和SDA(数据线)就可以虚拟I2C总线接口。I2C总线上的数据传送如图 1所示。总线上传送的每一帧数据均为1个字节。启动总线后,要求每传送1个字节后,对方回应一个应答位。在发送时,首先发送最高位。每次传送开始有起始信号,结束时有停止信号。在总线传送完1个字节后,可以通过对时钟线的控制,使传送暂停,这时可在应答信号后使SCL变低电平,控制总线暂停。 当主节点要求总线暂停时亦可采用同样的方法。图1是CPLD向外围I2C器件发送01010011 和01001001这两个数据的情况。

I2C串行扩展通信的特点及实现IP核的设计

3、 在MaxplusII环境下I2C串行扩展IP核的建立

MaxplusII是美国Altera公司用于CPLD的EDA软件,内部有许多常用的宏单元,如计数器、四则运算、各类逻辑门乃至ROM、RAM等。这些宏单元内具体的参数都可以由用户来自行设定,这就是上面提到的IP核形式。它避免了重复劳动,提高了效率。以下将要设计的是下位机的IP核。

MaxplusII的AHDL(Altera Hard ware Description Language)是Altera公司开发的完全集成于MaxplusII中的一种模块化高级语言,特别适合于描述复杂的组合逻辑、组运算、状态机和真值表。本文利用AHDL,直接生成IP核。

设计的最终目标是生成如图 2所示的Symbol。通过输入数据来达到控制SDA和SCL的目的,将信号按要求的时序传送给I2C器件。

I2C串行扩展通信的特点及实现IP核的设计

设计思路是利用状态机实现时序。主要包括输入数据锁存、起始、数据传输、停止等状态机。通过状态机,在每一状态下确定下一状态SDA和SCL是高电平或者低电平,通过这种方式实现了I2C所需要的每一种时序。由于使用的是AHDL,这种状态机实现起来非常方便,程序简洁明了。由于篇幅限制,仅介绍数据传输的状态机。状态图如图3所示。

I2C串行扩展通信的特点及实现IP核的设计

以下程序中,Cmd_reg2为发送允许暂存位;Sh_reg[]为数据锁存,通过左移,最高位数据Sh_reg7为当前将发送数据,存入SDA_tmp 。通过图 3对照程序,可以看到发送一个利用状态机数据位的详细过程。程序清单如下:

IF Cmd_reg2 THEN --若“发送允许”,则将Sh_reg7作为当前发送位 SDA_tmp = Sh_reg7; ELSE SDA_tmp = VCC; END IF; St.clk = SysClk; St.ena = BaudGen; CASE St IS --控制传输8位数据的状态机 WHEN t0 =》 IF Cmd_reg2 OR Cmd_reg3 THEN SDA = SDA_tmp; --开始传送数据 SCL = GND; St = t1; ELSE St = t0; END IF; WHEN t1 =》 SCL = VCC; SDA = SDA_tmp; St = t1a; WHEN t1a =》 SCL = VCC; SDA = SDA_tmp; St = t2; WHEN t2 =》 Sh_reg[7..1] = Sh_reg[6..0]; --数据左移,取高位 Sh_reg[0] = GND; Sh_reg[].ena = EXU; SCL = GND; SDA = SDA_tmp; IF Bit[] == 7 THEN --若8位传完,则发应答位;否则继续 St = t3; ELSE St = t0; END IF; WHEN t3 =》 --发应答位 SDA =GND; St = t4; WHEN t4 =》 SDA = GND; SCL = VCC; St = t4a; WHEN t4a =》 SDA = GND; SCL = VCC; St = t5; WHEN t5 =》 SCL = GND; St = t6; WHEN t6 =》 SDA = GND; FINISHED = VCC; St = t0; END CASE; Bit[] = Bit[] + 1; ――--传输完一位,已传输位数加一

图 4为仿真结果。起始信号给出以后,传输2个8位数据:每个数据后跟一个应答位,在输送完第一个数据要求的情况下,暂停一段时间,再输送第二个数据;2个数据输完以后,主机发出停止输送要求,即给出停止信号。这些信号,在SDA和SCL上完全符合I2C的时序要求。要使总线传输速率达到改进后的规范,即400 kb/s,因为根据以上叙述,每发送1位数据需要4个时钟周期,所以所给的时钟为1600 kHz。图4中Execute为执行信号,即它为高电平时,输入数据DIN[7..0]有效;EXU为发送使能信号,只有当它为高电平时,方可输送串行数据到外围器件;Start为开始控制信号,用于发生起始信号;STOP用于告知总线数据传输结束,发生停止信号。

I2C串行扩展通信的特点及实现IP核的设计

仿真以后,通过编程电缆将生成的pof文件用ISP(在系统编程)方式下载到FPGA板EPM7128SLC84-6,I/O口SDA和SCL挂上拉电阻,外接两片I2C器件SAA1064(LED显示I2C串入并出芯片)进行测试,结果CPLD利用该I2C的IP核,工作正常,可以按照要求显示。

责任编辑:gt

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • cpld
    +关注

    关注

    32

    文章

    1243

    浏览量

    168230
  • I2C
    I2C
    +关注

    关注

    28

    文章

    1343

    浏览量

    120711
  • IP核
    +关注

    关注

    4

    文章

    317

    浏览量

    49035
收藏 人收藏

    评论

    相关推荐

    基于I2C串行总线在单片机系统中的数据

    本帖最后由 luna 于 2011-3-3 12:06 编辑 简要介绍了I2C串行总线原理及AT24CXX系列串行EEPROM的特点。着重阐述了利用
    发表于 03-02 14:36

    I2C串行通信特点简介

    I2C串行通信特点简介Philips公司推出的I2C软、硬件协议十分巧妙,在单主方式的I2C总线
    发表于 07-15 14:48

    针对I2C的主方式串行扩展通信的接口IP设计

    ,我国也迫切需要发展自己的IP。本文针对I2C的主方式串行扩展通信
    发表于 04-12 07:00

    到底什么是I2C

    与标准GPIO扩展器不同,因为它们直接与I2C总线上的设备通信。该组合还提供了多种功能,具体取决于您的特定系统需求,例如通道数、复位引脚和电平转换。 图2:微控制器或处理器使用
    发表于 07-23 04:45

    I2C IPCore I2C怎么样?

    I2C(Inter Integrated Circuit)双向二线制串行总线,是由飞利浦公司制定的。I2C总线是一个多主机的总线,使用串行数据线(SDA)和
    发表于 08-05 06:33

    NiosII的I2C IP有什么优点?

    I2C控制IP主要由6个寄存器构成,如表1所列。通过对寄存器的读写可以方便地控制I2C总线数据的传输,从而实现NiosII处理器与设备之间的通信
    发表于 10-15 06:27

    i2c通信协议

    1.1 i2c通信协议通信协议:用来实现数据传输。i2c物理总线:SCL(时钟线) SDA(数据线)i2
    发表于 03-06 16:15

    了解I2C协议

    了解I2C协议,并能够使用I2C将数据写入和读出,I2C协议I2C协议和摩托罗拉公司的SPI协议一样,是一种通讯协议。串行外围设备接口,是一
    发表于 08-11 08:35

    I2C通讯协议简介

    I2C简介I2C 通讯协议(Inter-Integrated Circuit)是由Phiilps公司开发的,由于它引脚少,硬件实现简单,可扩展性强,不需要USART、CAN等通讯协议的
    发表于 08-19 07:00

    I2C通信协议简介

    一.I2C通信协议简介1.物理层I2C物理层有以下特点I2C是支持多设备的总线,支持多个通讯主机、多个通讯从机
    发表于 01-13 07:20

    EEPROM I2C协议的特点与基本读写过程

    EEPROMI2C协议I2C物理层的特点I2C的协议层I2C基本读写过程通讯的起始和停止信号数据有效性地址及数据方向响应STM32的
    发表于 01-21 08:57

    I2C通信特点是什么?

    I2C通信特点是什么?
    发表于 02-08 06:33

    I2C总线通信协议的相关资料下载

    一.I2C是什么?  I2C总线是PHLIPS公司在20世纪80年代推出的一种串行总线。具有引脚少,硬件实现简单,可扩展性强的优点。
    发表于 02-22 07:37

    Gowin goConfig I2C IP用户使用指南

    Gowin goConfig I2C IP 用户指南主要内容包括功能简介、信号定义、功能描述、界面配置,用于帮助用户快速了解 goConfig I2C IP 的产品特性、
    发表于 09-30 06:15

    Gowin I2C to ELVDS IP用户使用指南

    Gowin I2C to ELVDS IP 用户指南主要内容包括功能简介、信号定义、功能描述、界面配置,用于帮助用户快速了解 Gowin I2C to ELVDS IP 的产品特性、
    发表于 09-30 07:21