0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

2020年手机行业的两个关键词是什么

Wildesbeast 来源:搜狐新闻 作者:科技热门 2020-02-20 17:31 次阅读

虽然受到了疫情的影响,但新一轮的旗舰机发布期还是如期而至。除了熟悉的三星外,小米方面这次也有了大动作。众所周知,一直以来小米都以“性价比”著称,但此次直言要通过小米10系列正式向高端手机市场迈进。到底小米能否成功入席呢?想必还需要经过时间和用户的双重考验。

话虽如此,我们就先来看看小米10的具体表现。据悉,小米10系列搭载了国内首发的骁龙865处理器并支持5G,LPDDR5内存与UFS3.0闪存的加入也让其问鼎了目前业界的顶级水平。在拍照上,小米10同样升级到了高清四摄的组合,配备有1.08亿像素摄像头,在DoX跑分上终于超越了华为,取得了第一的成绩。尽管大家都可以看到小米10系列的用心之处,但不得不说整体上还是有些许遗憾。其中,120Hz高帧屏幕的缺席就是关键的一项,希望得到更流畅屏幕体验的朋友或许还需再等等其他厂商的更新。

这时候可能会有朋友问了,接下来待更新的厂商那么多,到底看谁呢?有没有什么侧重点啊?这里我们先不着急下结论,先从去年旗舰机的整体情况中找找线索。

首先,毫无疑问,高刷新率屏幕的引领者一加势必值得关注一波。其19年号称花费一亿元打造的90Hz屏幕流畅度升级不少,除了媒体的一致好评外,用户也纷纷感叹:一旦用了就再也回不到60Hz的手机。

时间进入到2020年,有关高帧屏幕的讨论依旧火热,只不过引领行业脚步的依旧是一加。新年伊始,一加就准备了一场别开生面的屏幕技术沟通大会,会上总结了一加7系列成功的经验外,也顺势带出了一加8将会搭载的120Hz屏幕,而这无疑是2020行业的新指标。

除此之外,相机领域,华为今年又会带来怎样的升级?小米已经把像素堆叠到了一亿的级别,华为如何做出回应也是个人十分期待的一个话题

所以,回到标题,个人认为,2020年手机行业的关键词将依旧是「屏幕」和「拍照」。只不过变化的是「屏幕」不再比拼屏占比、变宽粗细……而是回归到了屏幕最原始的屏幕素质,而且高帧率120Hz屏幕相信会是顶级旗舰的重要评价指标。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 处理器
    +关注

    关注

    68

    文章

    18261

    浏览量

    222087
  • 摄像头
    +关注

    关注

    59

    文章

    4607

    浏览量

    92882
  • 小米
    +关注

    关注

    68

    文章

    14127

    浏览量

    141730
收藏 人收藏

    评论

    相关推荐

    Vision Pro 发售只是开始,这两个问题才是决定行业成败的关键

    影响毋庸置疑。相对于接住 AVP 的“泼天热度”,ALVA Systems 作为深耕空间计算算法十余年的企业,看待这一事件显得更加客观和果断。立足整个空间计算产业发展,硬件普及与软件生态是决定行业生存发展的两个必要条件,而 ALVA 这类核心算法平台企业一定是
    发表于 02-22 13:53

    测测这10个AI关键词你清楚几个?第4个今年最火

    原文标题:测测这10个AI关键词你清楚几个?第4个今年最火 文章出处:【微信公众号:微软科技】欢迎添加关注!文章转载请注明出处。
    的头像 发表于 12-21 08:15 264次阅读
    测测这10个AI<b class='flag-5'>关键词</b>你清楚几个?第4个今年最火

    #2023,你的 FPGA 年度关键词是什么? # 对状态的疑惑?

    拼接把输入的两个 1bit 信号拼接成 1 2bit 信号 //投币方式可以为:不投币(00)、投 0.5 元(01)、投 1 元(10),每次只投一币 assign pi_money
    发表于 12-16 09:38

    #2023,你的 FPGA 年度关键词是什么? # PWM模块更新

    之前的因为一些问题发的code有点问题,这次把更新之后code发了出来,虽然也不是很完善但是初步还是可以用的; 对应的code如下: `timescale 1ns / 1ps modulecreat_PWM ( inputwireclk,//系统时钟为100MHz inputwirerst,//系统复位 inputwirekey_flag1,//占空比上调 inputwirekey_flag2,//占空比下调 inputwirekey_flag3,//频率上调 inputwirekey_flag4,//频率下调 output regPWM ); //PWM波形频率选择 reg [1:0] Frequency_seting; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) Frequency_seting <= 2\'b00; else if( (Frequency_seting == 2\'b11) && (key_flag3==1\'b1) ) Frequency_seting <= 2\'b00; else if( (Frequency_seting == 2\'b00) && (key_flag4==1\'b1) ) Frequency_seting <= 2\'b11; else if( key_flag3==1\'b1 ) Frequency_seting <= Frequency_seting + 1\'b1; else if( key_flag4==1\'b1 ) Frequency_seting <= Frequency_seting - 1\'b1; else Frequency_seting <= Frequency_seting; //PWM波形的频率设定 reg [23:0] Frequency_CNT_MAX; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) Frequency_CNT_MAX <= 24\'d9_999; else case( Frequency_seting ) 2\'b00 : Frequency_CNT_MAX <= 24\'d9_999; 2\'b01 : Frequency_CNT_MAX <= 24\'d99_999; 2\'b10 : Frequency_CNT_MAX <= 24\'d999_999; 2\'b11 : Frequency_CNT_MAX <= 24\'d9_999_999; default : Frequency_CNT_MAX <= 24\'d9_999; endcase //PWM频率生成计数器模块 reg [23:0] counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) counter <= 0; else if( counter == Frequency_CNT_MAX) counter <= 0; else counter <= counter + 1\'b1; //占空比调节模块,步进为10% reg [23:0] duty_counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) duty_counter <= Frequency_CNT_MAX/2; else if( key_flag1 == 1\'b1 ) duty_counter <= duty_counter + (Frequency_CNT_MAX/10); else if( key_flag2 == 1\'b1 ) duty_counter <= duty_counter - (Frequency_CNT_MAX/10); else duty_counter <= duty_counter; //生成PWM always @(posedge clk or negedge rst) if( rst == 1\'b0 ) PWM <= 1\'b0; else if( duty_counter <= counter ) PWM <= 1\'b1; else PWM <= 1\'b0; endmodule 对应的测试用的testbench如下: `timescale 1ns/1ns module tb_creat_PWM(); //****************** Parameter and Internal Signal *******************// //wire define wirePWM; //reg define reg clk; reg rst; reg key_flag1; reg key_flag2; reg key_flag3; reg key_flag4; //***************************** Main Code ****************************// initial begin clk = 1\'b1; rst <= 1\'b0; key_flag1 <= 1\'b0; key_flag2 <= 1\'b0; key_flag3 <= 1\'b0; key_flag4 <= 1\'b0; #201; rst <= 1\'b1; #200; key_flag1 <= 1\'b1; #100; key_flag1 <= 1\'b0; #20000000; key_flag1 <= 1\'b1; #100; key_flag1 <= 1\'b0; #20000000; #20000000; $stop; end // creator clk always #10 clk <= ~clk; //*************************** Instantiation **************************// creat_PWMcreat_PWM_inst ( .clk ( clk), .rst ( rst), .key_flag1 ( key_flag1 ), .key_flag2 ( key_flag2 ), .key_flag3 ( key_flag3 ), .key_flag4 ( key_flag4 ), .PWM ( PWM) ); endmodule 对应的原始code中的参数如果修改一下是可以大幅缩短仿真时间,但是一时没有想起对应的修改模块内部变量的方法,后面找到后再进行补充。 写的还是感觉比较差劲,只能说说慢慢进步吧,自己也是自学不久。
    发表于 12-12 10:47

    #2023,你的 FPGA 年度关键词是什么? # PWM模块基础设计

    由于今天连续多次无法发布该文章,心态真的是崩了,由于基础的PWM比较简单,此次先给大家展示半成品,完整状态对应的PWM频率、占空比均可调节,对应的模块结构图如下: 对应的基本code如下
    发表于 12-06 21:56

    #2023,你的 FPGA 年度关键词是什么? #

    FPGA 年度关键词,我的想法是“标准化”;今年的工作中遇到了不少同事的issues,本身都是小问题或者很细节的东西但是却反复出现问题,目前想到的最好的办法是做好设计规则的标准化才能避免,不知道大家有没有更好的建议?
    发表于 12-06 20:31

    两个51单片可以共用一晶振吗?

    两个51单片可以共用一晶振吗?
    发表于 11-08 07:38

    怎么实现两个单片模拟串口通信?

    怎么实现两个单片模拟串口通信,用I/O口和外部中断,时序编程求代码
    发表于 11-03 07:34

    ch340怎么实现两个单片之间的io口通信?

    使用ch340,怎么实现两个单片之间的io口通信
    发表于 11-03 06:33

    如何用两个单片同时驱动一LCD屏?

    请教大家单片做的LCD定时器,怎么实现一块屏上两个独立的定时器包括独立的操作,使用触摸方式
    发表于 11-02 08:14

    两个单片输出高低电平,另一检测高低电平,两个单片引脚可以直接相连吗?

    两个单片输出高低电平,另一检测高低电平,两个单片引脚可以直接相连吗?是否要做隔离呢
    发表于 11-02 06:14

    AT32上实现关键词语音识别(KWS)

    AT32上实现关键词语音识别(KWS)本文基于此开源模型和代码,在AT32 MCU 上对KWS 效果进行展示。
    发表于 10-26 07:45

    两个单片可以用一5v电源供电吗?

    两个单片可以用一5v电源供电吗???
    发表于 10-26 07:06

    TinyML变得简单:关键词识别(KWS)

    电子发烧友网站提供《TinyML变得简单:关键词识别(KWS).zip》资料免费下载
    发表于 07-13 10:20 2次下载
    TinyML变得简单:<b class='flag-5'>关键词</b>识别(KWS)

    单片的C语言里面,有修饰词const,这个放到变量类型前和后有什么区别吗?

    单片的C语言里面。有修饰词const,这个放到变量类型前和后有什么区别吗?我看到有网友发的两个贴里有这两个用法,不太懂
    发表于 06-20 08:24