0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

占空比为50%的3分频电路

姚小熊27 来源:xx 2019-10-08 10:20 次阅读

在一个扬声器系统里,人们把箱体、分频电路、扬声器单元称为扬声器系统的三大件,而分频电路对扬声器系统能否高质量地还原电声信号起着极其重要的作用。尤其在中、高频部分,分频电路所起到的作用就更为明显。

分频电路的作用

1、合理地分割各单元的工作频段;

2、合理地进行各单元功率分配;

3、使各单元之间具有恰当的相位关系以减少各单元在工作中出现的声干涉失真;

4、利用分频电路的特性以弥补单元在某频段里的声缺陷;

5、将各频段圆滑平顺地对接起来。

显然,分频电路的这些作用已被人们所认识和接受。

占空比为50%的3分频电路

时序图工具

占空比为50%的3分频电路

{signal: [

{name: ‘clk’, wave: ‘P…P…’},

{name: ‘clk1’, wave: ‘H.LH.LH.L’},

{name: ‘clk2’, wave: ‘lh.lh.lh.l’,phase:0.5},

{},

{name: ‘clk1 & clk2’, wave: ‘nhlnhlnhp’}

]}

目前各个FPGA厂家一般都有集成的锁相环资源,但在设计对于时钟要求不高的基本设计,通过逻辑进行时钟分频依然有效,还可以节省芯片内部的锁相环资源,其中分频又分为,偶数分频,奇数数分频,小数分频,此次主要涉及奇数分频,设计一个占空比为50%的三分频电路,仿真环境采用edaplayground.com.

奇数分频原理

分别采用上升沿进行一个占空比为2/3的始终,在次用下降样设计同样的占空比,最后将两者进行相与,得到占空比为50%的三分频电路。

// Code your design here

`timescale 1ns/1ps

module div3_half(

input Sys_clk,

input Sys_reset,

output div3 ,

output clk1,

output clk2

);

reg clk1;//2/3 is high posedge

reg clk2;//2/3 is high negedge

//counter

reg [1:0]count;

always @ (posedge Sys_clk )

if(!Sys_reset)

count 《= 2‘b0;

else if(count ==2’d2)

count 《= 2‘b0;

else

count 《= count +1’b1;

always @(posedge Sys_clk )

if(!Sys_reset)

begin

clk1 《=1‘b1;

end

else if(count == 2’d1 | count == 2‘d2)

clk1 《= ~clk1;

always @(negedge Sys_clk )

if(!Sys_reset)

begin

clk2 《=1’b1;

end

else if(count == 2‘d2 | count ==2’d1)

clk2 《= ~clk2;

//------------------------------------------------

assign div3 =clk1 & clk2;

endmodule

// Code your testbench here

// or browse Examples

`timescale 1ns/1ps

module tset();

reg Sys_clk;

reg Sys_reset;

wire div3;

initial

begin

$dumpfile(“d.vcd”);

$dumpvars(1);

Sys_clk=0;

Sys_reset = 0;

#100

Sys_reset =1;

end

always #10 Sys_clk = ~Sys_clk;

div3_half div3_half_inst(Sys_clk,Sys_reset,div3,clk1,clk2);

endmodule

仿真结果

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 分频
    +关注

    关注

    0

    文章

    237

    浏览量

    24731
  • 分频电路
    +关注

    关注

    7

    文章

    44

    浏览量

    35644
收藏 人收藏

    评论

    相关推荐

    USB3.0中五分频电路设计

    本文设计了基于65 nm 工艺的五分频器, 产生一个占空比为50%的五分频信号。对该电路的设计不以追求高速度为惟一目标
    发表于 11-25 15:07 8517次阅读
    USB3.0中五<b class='flag-5'>分频</b><b class='flag-5'>电路</b>设计

    分频电路,四分频电路

    四4分频电路下图的分频电路输出占空比均为50%,可用D-FF,也可用JK-FF来组成,用JK-F
    发表于 06-22 08:02

    有源分频电路(2二分频)

    有源二分频电路图:分频点在250MHZ,上图仅为一个声道,另一声道类同。运放IC可选择1个四通道运放TL084,或者选择两个NE5532,JRC4580。
    发表于 09-17 14:48

    【FPGA经典试题】写一段HDL代码实现对输入时钟三分频的功能

    请写一段HDL 代码实现对输入时钟三分频的功能(要求输出时钟占空比为50%)[code]module even_division(clk,rst,count1,count2,clk_even
    发表于 03-15 10:05

    需要讲解一个占空比50%的奇数分频器的原理

    本帖最后由 haozix521 于 2013-10-4 20:42 编辑 想做一个占空比50%的奇数分频器,但是不是很了解这其中的意思。网上的资料都是这样讲的“对于实现占空比为
    发表于 10-04 20:35

    用VHDL怎样设计数控半整数分频

    使用VHDL语言怎样实现数控半整数分频器,就当输入为3时,就实现3.5分频,当输入为4时,就实现4.5分频,同时要求占空比为
    发表于 12-02 18:28

    参数可变的奇数分频占空比问题

    如题,设置任意参数可变的整数分频分频系数由DSP发送给CPLD,在调试的过程中发现由2分频调到3分频的时候,
    发表于 03-13 16:57

    基于FPGACPLD的占空比为1∶n的n分频器的设计

    基于FPGACPLD的占空比为1∶n的n分频器的设计
    发表于 09-30 09:11

    简单组合时序电路设计

    ,其占空比为1/3或2/3。  如果要实现占空比为50%的三分频时钟,则可通过待
    发表于 10-12 16:52

    利用Verilog实现奇数倍分频

    /3或者2/3。如果要实现占空比为50%的三分频时钟,可以通过待分频时钟下降沿触发计数,和上升沿
    发表于 06-14 06:30

    如何利用Verilog实现奇数倍分频

    /3或者2/3。如果要实现占空比为50%的三分频时钟,可以通过待分频时钟下降沿触发计数,和上升沿
    发表于 07-09 09:11

    分频音箱比二分频好在哪看了就知道

      首先我们要弄明白,什么是二分频器,什么是三分频器?二分频器是由一个高通滤波器和一个低通滤波器组成,而三分频器又有增加了一个带通滤波器,由于滤波器在
    发表于 01-28 16:58

    51单片机12分频与1分频的区别是什么?

    51单片机12分频与1分频区别
    发表于 10-31 06:52

    用VHDL语言实现3分频电路(占空比为2比1)

    用VHDL语言实现3分频电路(占空比为2比1) 分频器是FPGA设计中使用频率非常高的基本设计之一,尽管在目前大部分设计中,广泛使用芯片厂家集成的锁
    发表于 06-22 07:46 7892次阅读

    如果输入占空比为50%的方波到一个RC电路,输出是什么波形?

    如果输入占空比为50%的方波到一个RC电路,输出是什么波形? 首先我们需要了解什么是RC电路。RC电路是由一个电阻和一个电容组成的
    的头像 发表于 10-24 10:20 1460次阅读