0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

回顾电源管理与射频应用的年度关键词

cROa_英飞凌 来源:djl 2019-09-24 11:54 次阅读

毫米波雷达

无论是炫酷的谷歌Project Soli手势识别应用,还是特斯拉车型中的防撞探测雷达方案,英飞凌种类丰富的毫米波雷达产品都能一一应对,完美覆盖当下热门的24GHz、60GHz以及77GHz雷达应用,如工业、汽车、可穿戴设备等。点击图片即可详细了解

英飞凌毫米波雷达产品传送门

“D类音频放大器

在过去的2017年里,各类智能音箱产品层出不穷,智能音箱正处于AI行业的风口。而D类音频放大器,正是为音箱产品提供好音质的核心。英飞凌致力于提供一整套全面的分立和集成IC产品组合,从而简化高效率D类放大器的设计。

戳图片get到好音质的核心——D类音频放大器!

D类音频放大器的优势莫非就是高音甜、中音准、低音沉……

好声音,为英飞凌高效率D类音频功率放大器“转身”

“智能路灯”

智能路灯的作用可不仅仅是照明,它还能用作电动汽车的充电桩,以及空气质量和交通规则的测量工具。这样一个使用了英飞凌众多高能效元器件的智能路灯,已经成为了智慧城市的一个全能型节点。这可是全球最先进的智能路灯之一哦,点击图片看设计套路

智能路灯设计传送门

无线充电

现在说起无线充电,粉丝们或许想到苹果iPhone X等智能手机的推出,引领了无线充电的风潮。但古语有曰:兵马未动,粮草先行。早在2017年伊始,小编可就交出了“粮草级方案”,为大家准备了相关题材的文章哦,赶紧戳图片了解《无线充电设计的武功秘籍》

无线充电秘籍传送门

更有电磁感应式与磁共振式无线充电的详细剖析!

无线充电这么火,英飞凌专家和您品一品

再赏无线充电:磁共振式带来更好用户体验

“AI白皮书”

AlphaGo大战柯洁是2017年AI界的标志性大事件。但你可能不知道,对弈的每场棋局需要消耗约30,000度电能,如此能耗显然无法商用。这份英飞凌低功耗AI白皮书,为你解读先进的电源控制技术和开关技术,在高功率AI应用中创造出最高效的一流电源解决方案。

戳上图淘《低功耗AI白皮书》

“环保发电机”

想象一下,当你希望在远离家门的地方,比如春游、露营时享受下依赖电力的娱乐活动,便携式环保发电机是不是就立马成为了你和朋友们开party的神器呢?如果要找这一类发电机的方案,记得要认准“英飞凌inside”哦,点击图片了解

便携式环保发电机方案传送门

更有爆款方案讲解能效与成本的那些事儿。

想将便携式发电机项目提升至新水准?试试这套兼顾能效与成本的“爆款”方案!

“4地巡演”

2017年夏季,英飞凌中国在北上厦深四地举办了轰动电源界的“极智能效 尽享随芯”电源管理射频巡回研讨会。这一次全国巡演汇聚了不同区域近5700名工程师参与,与众多特邀行业技术大牛,带来了一场行业技术研讨盛会。想看返场Encore的筒子们记得戳图

看全国巡演返场Encore点这里!

“热播视频

英飞凌电源管理与射频在线技术社区已经正式上线了“热播视频”版块。这里是电源管理与射频工程师的知识课堂,英飞凌专家将用完整视频的方案,为筒子们诠释Infineon-inside的高效方案,并已全部汇总为中文讲解。

工程界都在追的热播剧集在这里

“辅助电源”

辅助电源哪家强?小编遥指英飞凌。辅助电源应用十分广泛,以家电辅助电源为例,其在于为家电智能化提供稳定的直流电压和电流。英飞凌最新推出的第五代CoolSET产品,就有帮助实现在不同负载条件下提高器件效率、加速器件启动速度等等好处。

“圣诞漫画”

《圣诞老人送礼记》漫画在2017年圣诞节的前夕正式上线,这是咱们账号首次推出的故事情节漫画。利用英飞凌先进半导体元器件、打造提高生活品质的科技产品,帮助圣诞老人顺利送达礼物。如果喜爱这一漫画,请在评论中为它打Call!

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 电源管理
    +关注

    关注

    112

    文章

    6008

    浏览量

    141100
  • 便携式
    +关注

    关注

    0

    文章

    321

    浏览量

    29318
  • 无线充电
    +关注

    关注

    1283

    文章

    3196

    浏览量

    313631
收藏 人收藏

    评论

    相关推荐

    集创北方iML8986荣获AspenCore“年度最佳电源管理IC”

    2024年3月28日,2024国际集成电路展览会暨研讨会(IIC Shanghai)在上海张江科学会堂盛大开幕,在29日晚的年度颁奖典礼上,集创北方电源管理芯片iML8986荣获AspenCore“中国IC设计成就奖”-
    的头像 发表于 04-03 10:30 293次阅读
    集创北方iML8986荣获AspenCore“<b class='flag-5'>年度</b>最佳<b class='flag-5'>电源</b><b class='flag-5'>管理</b>IC”

    深开鸿用三个关键词,为你解读《2023 OpenHarmony 年度运营报告》

    的一笔共同奏响中国基础软件的光辉岁月作为OpenHarmony生态的领军企业深开鸿通过三个关键词带你读懂《2023OpenHarmony年度运营报告》01繁荣202
    的头像 发表于 02-02 17:00 163次阅读
    深开鸿用三个<b class='flag-5'>关键词</b>,为你解读《2023 OpenHarmony <b class='flag-5'>年度</b>运营报告》

    中科曙光算力服务年度盘点 四大关键词

    总结为以下四个关键词。 聚焦 —  曙光算力服务紧跟市场趋势,积极参与信通院新一代算力网技术创新联盟、首批可信算力云服务-智能平台和“算力星图”计划。通过深度参与行业标准和技术创新,曙光智算成功通过首批“可信算力服务-智
    的头像 发表于 01-04 10:34 278次阅读

    测测这10个AI关键词你清楚几个?第4个今年最火

    原文标题:测测这10个AI关键词你清楚几个?第4个今年最火 文章出处:【微信公众号:微软科技】欢迎添加关注!文章转载请注明出处。
    的头像 发表于 12-21 08:15 261次阅读
    测测这10个AI<b class='flag-5'>关键词</b>你清楚几个?第4个今年最火

    #2023,你的 FPGA 年度关键词是什么? # 对状态机的疑惑?

    自己平时一直在写的状态机格式,同事昨天说我写的是一段式的最多算是伪二段式的,说的看了不少文章我也有点疑惑了,所给大家贴出来一起看看,我这边写法和野火的一直这次就贴出野火FPGA的code,供大家参考对比。 module complex_fsm ( input wire sys_clk , //系统时钟 50MHz input wire sys_rst_n , //全局复位 input wire pi_money_one , //投币 1 元 input wire pi_money_half , //投币 0.5 元 output reg po_money , //po_money 为 1 时表示找零 //po_money 为 0 时表示不找零 output reg po_cola //po_cola 为 1 时出可乐 //po_cola 为 0 时不出可乐 ); //********************************************************************// //****************** Parameter and Internal Signal *******************// //********************************************************************// //parameter define //只有五种状态,使用独热码 parameter IDLE = 5\'b00001; parameter HALF = 5\'b00010; parameter ONE = 5\'b00100; parameter ONE_HALF = 5\'b01000; parameter TWO = 5\'b10000; //reg define reg [4:0] state; //wire define wire [1:0] pi_money; //********************************************************************// //***************************** Main Code ****************************// //********************************************************************// //pi_money:为了减少变量的个数,我们用位拼接把输入的两个 1bit 信号拼接成 1 个 2bit 信号 //投币方式可以为:不投币(00)、投 0.5 元(01)、投 1 元(10),每次只投一个币 assign pi_money = {pi_money_one, pi_money_half}; //第一段状态机,描述当前状态 state 如何根据输入跳转到下一状态 always@(posedge sys_clk or negedge sys_rst_n) if(sys_rst_n == 1\'b0) state <= IDLE; //任何情况下只要按复位就回到初始状态 else case(state) IDLE : if(pi_money == 2\'b01) //判断一种输入情况 state <= HALF; else if(pi_money == 2\'b10)//判断另一种输入情况 state <= ONE; else state <= IDLE; HALF : if(pi_money == 2\'b01) state <= ONE; else if(pi_money == 2\'b10) state <= ONE_HALF; else state <= HALF; ONE : if(pi_money == 2\'b01) state <= ONE_HALF; else if(pi_money == 2\'b10) state <= TWO; else state <= ONE; ONE_HALF: if(pi_money == 2\'b01) state <= TWO; else if(pi_money == 2\'b10) state <= IDLE; else state <= ONE_HALF; TWO : if((pi_money == 2\'b01) || (pi_money == 2\'b10)) state <= IDLE; else state <= TWO; //如果状态机跳转到编码的状态之外也回到初始状态 default : state <= IDLE; endcase //第二段状态机,描述当前状态 state 和输入 pi_money 如何影响 po_cola 输出 always@(posedge sys_clk or negedge sys_rst_n) if(sys_rst_n == 1\'b0) po_cola <= 1\'b0; else if((state == TWO && pi_money == 2\'b01) || (state == TWO &&pi_money == 2\'b10) || (state == ONE_HALF && pi_money == 2\'b10)) po_cola <= 1\'b1; else po_cola <= 1\'b0; //第二段状态机,描述当前状态 state 和输入 pi_money 如何影响 po_money 输出 always@(posedge sys_clk or negedge sys_rst_n) if(sys_rst_n == 1\'b0) po_money <= 1\'b0; else if((state == TWO) && (pi_money == 2\'b10)) po_money <= 1\'b1; else po_money <= 1\'b0; endmodule
    发表于 12-16 09:38

    #2023,你的 FPGA 年度关键词是什么? # PWM模块更新

    之前的因为一些问题发的code有点问题,这次把更新之后code发了出来,虽然也不是很完善但是初步还是可以用的; 对应的code如下: `timescale 1ns / 1ps modulecreat_PWM ( inputwireclk,//系统时钟为100MHz inputwirerst,//系统复位 inputwirekey_flag1,//占空比上调 inputwirekey_flag2,//占空比下调 inputwirekey_flag3,//频率上调 inputwirekey_flag4,//频率下调 output regPWM ); //PWM波形频率选择 reg [1:0] Frequency_seting; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) Frequency_seting <= 2\'b00; else if( (Frequency_seting == 2\'b11) && (key_flag3==1\'b1) ) Frequency_seting <= 2\'b00; else if( (Frequency_seting == 2\'b00) && (key_flag4==1\'b1) ) Frequency_seting <= 2\'b11; else if( key_flag3==1\'b1 ) Frequency_seting <= Frequency_seting + 1\'b1; else if( key_flag4==1\'b1 ) Frequency_seting <= Frequency_seting - 1\'b1; else Frequency_seting <= Frequency_seting; //PWM波形的频率设定 reg [23:0] Frequency_CNT_MAX; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) Frequency_CNT_MAX <= 24\'d9_999; else case( Frequency_seting ) 2\'b00 : Frequency_CNT_MAX <= 24\'d9_999; 2\'b01 : Frequency_CNT_MAX <= 24\'d99_999; 2\'b10 : Frequency_CNT_MAX <= 24\'d999_999; 2\'b11 : Frequency_CNT_MAX <= 24\'d9_999_999; default : Frequency_CNT_MAX <= 24\'d9_999; endcase //PWM频率生成计数器模块 reg [23:0] counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) counter <= 0; else if( counter == Frequency_CNT_MAX) counter <= 0; else counter <= counter + 1\'b1; //占空比调节模块,步进为10% reg [23:0] duty_counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) duty_counter <= Frequency_CNT_MAX/2; else if( key_flag1 == 1\'b1 ) duty_counter <= duty_counter + (Frequency_CNT_MAX/10); else if( key_flag2 == 1\'b1 ) duty_counter <= duty_counter - (Frequency_CNT_MAX/10); else duty_counter <= duty_counter; //生成PWM always @(posedge clk or negedge rst) if( rst == 1\'b0 ) PWM <= 1\'b0; else if( duty_counter <= counter ) PWM <= 1\'b1; else PWM <= 1\'b0; endmodule 对应的测试用的testbench如下: `timescale 1ns/1ns module tb_creat_PWM(); //****************** Parameter and Internal Signal *******************// //wire define wirePWM; //reg define reg clk; reg rst; reg key_flag1; reg key_flag2; reg key_flag3; reg key_flag4; //***************************** Main Code ****************************// initial begin clk = 1\'b1; rst <= 1\'b0; key_flag1 <= 1\'b0; key_flag2 <= 1\'b0; key_flag3 <= 1\'b0; key_flag4 <= 1\'b0; #201; rst <= 1\'b1; #200; key_flag1 <= 1\'b1; #100; key_flag1 <= 1\'b0; #20000000; key_flag1 <= 1\'b1; #100; key_flag1 <= 1\'b0; #20000000; #20000000; $stop; end // creator clk always #10 clk <= ~clk; //*************************** Instantiation **************************// creat_PWMcreat_PWM_inst ( .clk ( clk), .rst ( rst), .key_flag1 ( key_flag1 ), .key_flag2 ( key_flag2 ), .key_flag3 ( key_flag3 ), .key_flag4 ( key_flag4 ), .PWM ( PWM) ); endmodule 对应的原始code中的参数如果修改一下是可以大幅缩短仿真时间,但是一时没有想起对应的修改模块内部变量的方法,后面找到后再进行补充。 写的还是感觉比较差劲,只能说说慢慢进步吧,自己也是自学不久。
    发表于 12-12 10:47

    #2023,你的 FPGA 年度关键词是什么? # PWM模块基础设计

    由于今天连续多次无法发布该文章,心态真的是崩了,由于基础的PWM比较简单,此次先给大家展示个半成品,完整状态对应的PWM频率、占空比均可调节,对应的模块结构图如下: 对应的基本code如下: modulecreat_PWM ( inputwireclk, //系统时钟为50MHz inputwirerst, inputwirekey_flag1, inputwirekey_flag2, output regPWM ); parameter Frequency_CNT_MAX = 16\'d49_999; //输出PWM为1KHz,1ms=5000*20ns //PWM频率生成计数器模块 reg [15:0] couter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) couter <= 0; else if( couter == Frequency_CNT_MAX ) couter <= 0; else couter <= couter + 1\'b1; //占空比调节模块 reg [15:0] duty_counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) duty_counter <= 16\'d24_999; else if( key_flag1 == 1\'b1 ) duty_counter <= duty_counter + 16\'d49; else if( key_flag2 == 1\'b1 ) duty_counter <= duty_counter - 16\'d49; else duty_counter <= duty_counter; //生成PWM always @(posedge clk or negedge rst) if( rst == 1\'b0 ) PWM <= 1\'b0; else if( duty_counter <= Frequency_CNT_MAX ) PWM <= 1\'b1; else PWM <= 1\'b0; endmodule 由于是第一次在电子发烧友上发文章,体验感觉真的不太友好,希望能够把文章的自动保存功能给加上,否则没有备份真的让人不开心
    发表于 12-06 21:56

    #2023,你的 FPGA 年度关键词是什么? #

    FPGA 年度关键词,我的想法是“标准化”;今年的工作中遇到了不少同事的issues,本身都是小问题或者很细节的东西但是却反复出现问题,目前想到的最好的办法是做好设计规则的标准化才能避免,不知道大家有没有更好的建议?
    发表于 12-06 20:31

    打卡有好礼!FPGA开发者技术社区每日打卡活动来啦!!

    VIP 获奖名单公布: 请获奖的小伙伴联系工作人员领取奖品(微信:elecfans123) 12 月打卡关键词 #2023,你的 FPGA 年度关键词是什么? # 打卡时间 12月1日-12 月
    发表于 11-06 18:28

    AT32上实现关键词语音识别(KWS)

    AT32上实现关键词语音识别(KWS)本文基于此开源模型和代码,在AT32 MCU 上对KWS 效果进行展示。
    发表于 10-26 07:45

    ​浅谈芯片中关键电源管理技术

    电子设备中的电源管理是确保设备稳定、高效运行的关键因素之一。芯片中的电源管理单元(PMU)、降压型稳压器(BUCK)和低压差稳压器(LDO)
    发表于 09-12 12:25 827次阅读

    关键词分析(2)#Python数据分析

    编程python
    未来加油dz
    发布于 :2023年09月02日 18:29:02

    关键词分析(1)#Python数据分析

    编程python
    未来加油dz
    发布于 :2023年09月02日 18:28:21

    . 基于关键词的内容可视化#大数据

    大数据
    未来加油dz
    发布于 :2023年07月18日 13:49:10

    TinyML变得简单:关键词识别(KWS)

    电子发烧友网站提供《TinyML变得简单:关键词识别(KWS).zip》资料免费下载
    发表于 07-13 10:20 2次下载
    TinyML变得简单:<b class='flag-5'>关键词</b>识别(KWS)