0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

关于晶圆代工的分析介绍

半导体科技评论 来源:djl 2019-09-03 14:26 次阅读

半导体产业起源地为美国,美国迄今仍在IDM模式(从设计、制造、封装测试以及投向消费市场一条龙全包)及垂直分工模式中的半导体产品设计环节占据绝对主导地位,而存储器、晶圆代工及封测等重资产、附加值相对低的环节陆续外迁。由于半导体属于技术及资本高度密集型行业,只有下游终端需求换代等重大机遇来临时,新兴地区通过技术引进、劳动力成本优势才有机会实现超越,推动产业链迁移。

第一次半导体迁移发生在大型计算机时代,存储器制造环节由美国向日本转移。日本凭借规模化生产技术占据成本和可靠性优势,成为DRAM(动态随机存取存储器)主要供应国。此次迁移对上游带动作用明显,即便后期日本丧失存储器优势,迄今仍在上游原材料、设备领域占据领先地位。

第二次半导体迁移发生在PC时代,PC对DRAM的诉求由可靠性转变为低价,韩国凭借劳动力优势取代日本的地位,至今仍主导存储器市场。

与此同时,***首创垂直分工模式,逐步形成IC集成电路)设计、晶圆代工、封测联动的产业集群。随着全球移动产品盛行、迭代速度更快,垂直分工模式以其更短的产品生命周期及更具竞争性的价格逐渐占据主导地位,长期引领全球圆晶代工、封测等环节。

关于晶圆代工的分析介绍

1.2、大陆具备能力把握机遇,成为半导体产业第三次迁移地

当前为IOT等下一轮终端需求换代酝酿期,为大陆半导体产业崛起创造机遇,并提供技术积累的时间窗口。我们预计未来五年半导体市场仍将由智能手机硅含量增加主导,汽车电子物联网等新兴领域为高增长亮点。

手机领域,国产手机终端品牌话语权不断增大,持续推动大陆电子产业向高端零部件拓展,对最为核心的芯片产业的带动作用正逐渐彰显。而IOT、汽车电子等新兴产品对制程要求不高,主要聚焦于成熟制程,大陆半导体各环节厂商已具备相应能力,并与国际厂商同步布局。基于此,我们判断大陆半导体产业在国家政策资金重点扶持下,通过技术积累、及早布局,具备能力把握潜在需求换代机遇,成为半导体产业第三次迁移地。

1.2.1、国内下游市场需求旺盛,IC自给率提升空间大

中国是全球最大的半导体消费市场,半导体需求量全球占比由2000年的7%攀升至2016年的42%,成为全球半导体市场的增长引擎。然而,大陆半导体产业发展与其庞大的市场需求并不匹配,IC仍大程度依赖于进口。据SEMI统计,2016年本土芯片自给率仅为25%,且预计未来三年自给率仍不到30%,国产IC自给率仍有相当大的提升空间。

关于晶圆代工的分析介绍

1.2.2、政策资金已然到位,资源加速集中促成长

半导体产业属高度技术及资金密集型产业,需要国家层面在政策倾斜、资金补贴、技术转让、人才获取等多方位支持。为避免大陆IC产业过度依赖进口,中国政府已将半导体产业发展提升至国家战略高度,并针对设计、制造、封测各环节制定明确计划。

关于晶圆代工的分析介绍

国家集成电路产业投资基金(大基金)首期募资规模达1387.2亿元人民币,截至2017年9月已进行55余笔投资,承诺投资额已达1003亿元,且二期募资正在酝酿中。同时由“大基金”撬动的地方集成电路产业投资基金(包括筹建中)达 5145 亿元,合计基金规模达6531亿元人民币,引导中国大陆半导体业产能建设及研发进程加快,生产资源加速集中最终实现竞争力提升。

1.3、大陆半导体核心产业链逐步规模化

半导体产业链分为核心产业链、支撑产业链。核心产业链包括半导体产品的设计、制造及封装测试。支撑产业链则包括为设计环节服务的EDA(电子设计自动化)工具及IP核供应商、为制造封测环节服务的原材料及设备供应商。

半导体支撑产业链由欧美日本垄断,大陆厂商与国际龙头技术及规模差距甚大。EDA工具环节由美国绝对主导,IP核由英美两国主导,大陆企业在此领域涉足甚少。原材料由日本主导,大陆企业在靶材、抛光液个别领域已达国际水平,但在硅片、光罩、光刻胶等核心领域仍有较大差距。设备环节仍主要由欧美、日本垄断,大陆企业在MOCVD等个别细分领域有所突破。

大陆半导体核心产业链环节正逐步规模化,陆续诞生跻身全球前十的龙头厂商。

大陆芯片设计业:全球市占率已达22%,龙头企业为华为海思、紫光。尽管全球IC设计业已渐趋放缓,但大陆IC设计市场成长迅速,未来三年复合增长预计提速至30%。然而大多数企业仍在盈利线上挣扎,成长质量亟待提升。绝大部分企业聚焦中低端市场,在CPU、存储器等高端通用领域与国际先进水平差距较大。

大陆晶圆代工业:全球市占率为10%,相对薄弱,龙头企业为中芯国际、华虹。下游IC设计业快速成长带来晶圆代工刚需,叠加政策资金重点扶持,预计未来三年复合增速在15%以上。大陆代工厂仍未完全掌握28nm及以下先进工艺,较国际龙头仍有两代技术差距,产品利润率不甚理想。

大陆芯片封测业:全球市占率已达17%,龙头企业为长电、华天、通富微电。在上游晶圆代工业带动下,未来三年复合增速预计维持在10-15%。大陆企业技术逐渐向一线靠齐,预计未来三年利润率逐年改善。

关于晶圆代工的分析介绍

关于晶圆代工的分析介绍

关于晶圆代工的分析介绍

1.4、率先突破微笑曲线底部封测,晶圆代工为下一机会所在

不同于传统产业微笑曲线“产品设计—制造—销售”,半导体产业链中由IC设计商同时负责IC设计及营销服务,由晶圆代工厂负责晶圆工艺研发及制造,因此微笑曲线路径为“IC设计—晶圆代工—封测—IC设计”。

IC设计环节轻资产,同时具备技术壁垒及渠道壁垒,附加值最高;晶圆代工环节重资产,技术壁垒较高,附加值较高;封测环节重资产,技术壁垒相对低,附加值相对低。经我们测算,IC设计、晶圆代工、封测环节全球前十大厂商平均ROE水平与微笑曲线路径基本吻合。微笑曲线底部封测环节ROE最低为12%,曲线中部晶圆代工环节ROE居中为15%,曲线顶部IC设计环节ROE最高达21%。

关于晶圆代工的分析介绍

大陆已率先突破微笑曲线底部封测环节,伴随着封测业盈利质量提升拐点来临。我们判断,大陆半导体崛起将沿着微笑曲线由底部向两端发展,封测之后的下一突破口便是晶圆代工。IC制造为当前国家政策重点支持环节,在一期大基金承诺投资额占比高达63%。期待大陆晶圆代工企业在获取资本支持后,加快缩小与国际领先者的技术差距,依托本土高速成长的IC设计需求,尽快实现盈利质量提升。

关于晶圆代工的分析介绍

关于晶圆代工的分析介绍

2、大陆晶圆代工技术相对滞后,把握现有制程市场机遇

2.1、全球代工市场增长平稳,最先进制程创造增量空间

智能手机、PC等下游应用和产品升级要求高端芯片在性能及功耗指标上进一步提升,目前仍有赖于半导体技术节点的持续缩小来实现。技术节点与晶体管沟道长度相对应,伴随着技术节点缩小,IC信息处理速度提升,单个晶体管尺寸减小实现功耗降低,以及集成度提升实现成本下降。

全球纯晶圆代工市场增长平稳,2017年全球纯晶圆代工市场规模预计达520亿美元,同比增速为6%。在智能手机市场增速放缓、物联网、汽车电子等新兴终端应用尚未放量背景下,当前全球纯晶圆代工市场的增量空间主要来自人工智能、加密货币等高性能计算应用持续向最先进制程迁移(当前采用14nm及以下节点)。据IHS预测,2017年14nm及以下先进制程市场规模预计达110亿美元,同比增长42%;而28nm及以上旧节点市场需求相对稳定,市场规模基本维持在410亿美元。

鉴于10nm已于2H17开始逐步放量,高端AP、加密货币等对10nm需求旺盛,我们预计2018年10nm将继续放量,加之7nm于2H18突破放量,产品迁移有望带动全球纯晶圆代工市场增长提速至9%。

关于晶圆代工的分析介绍

2.2、技术制程决定发展路径,大陆厂商在二三线阵营

晶圆制造属于技术及资本密集型行业,其最关键的技术为制造流程的精细化技术,为攻克最先进制程需巨额资本开支及研发投入。行业寡头竞争特征愈发明显,2016年全球前十大纯晶圆代工企业联合市场份额达94.2%。

***占据全球晶圆代工市场绝对主导地位。台积电以58.3%的市占率独占鳌头,联电以9.3%的市占率位居第三,力晶科技、世界先进亦跻身前十,四家市占率合计达71%。

大陆占据全球纯晶圆代工市场10%的份额,市场规模约50亿美元。中芯国际以5.7%的市占率位居全球第四位,占据大陆代工厂的绝对龙头地位。华虹宏力营收以1.6%的市占率位居全球第八位,华力微电子、华润、武汉新芯及上海先进等中小型代工厂跻身前二十。

各晶圆代工厂商市场位势基本由其最先进节点所决定。根据其最先进节点划分为三大阵营,大陆晶圆代工厂仍位于二三线阵营,中芯国际作为大陆先进工艺标杆在二线阵营,华虹、武汉新芯、华润等在三线阵营。台积电垄断地位稳固,技术及规模优势明显,而中芯、华虹等大陆晶圆代工厂战略层面仍处于避免与台积电正面竞争的状态,通过聚焦差异化市场、提供定制化服务以构建自身位势,把握现有制程市场机会。中芯在指纹识别、eNVM、电源管理MCU等细分领域具备较为深厚的产品及客户基础。而华虹的核心竞争力则在于智能卡及IGBT、超级结等功率器件。

关于晶圆代工的分析介绍

2.2.1、一线阵营:抢占先进制程迁移红利

Intel三星、台积电、格罗方德四大一线阵营厂商获取增长方式有二:1)通过大规模研发及资本投入,跑在先进制程竞赛前列,抢占产品向先进制程迁移红利,把握高性能计算(14nm及以下制程)市场增长,享受技术溢价;2)行业需求疲软时,在旧节点市场降价抢单施加同业竞争压力,带来后排厂商产能利用率、利润率下行风险。

台积电与三星均于2017Q1实现10nm的量产,Intel预计于2018年量产。格罗方德虽然当前仍处于净亏损状态,在先进制程投入上却仍较为激进,于2015年末量产14nm,并计划跳过10nm直接攻克7nm。

关于晶圆代工的分析介绍

2.2.2、二线阵营:把握28nm长周期机遇

最先进制程停留或即将攻克28nm这一长周期节点的厂商归入二线阵营,其在先进制程投入方面相对保守。二线厂商短时间不能把握HPC高性能计算市场,获取增长的方式有二:1)在攻克自身最新节点后,以较同业更低的价格来争取订单,但会拖累利润率;2)在现有制程领域,打造自身特色工艺平台来增强竞争力,缓解同业降价压力,拉动利润率。

基于先进制程供给及需求的考量,28nm为目前单位逻辑闸成本最小的技术节点,长周期制程属性明显,预计大多数产品将逐步向更先进制程迁移,达致28nm后因其更高性价比及广泛的应用领域将停留较长时间。二线厂商攻克28nm后,已足以满足绝大多数半导体产品的制程需求,我们认为通过把握现有制程市场推出特色工艺平台提升竞争力,仍可享受平稳增长。

关于晶圆代工的分析介绍

关于晶圆代工的分析介绍

联电:基于先进制程研发不及预期、成本结构拖累利润的困境,联电已选择退居二线阵营,14nm于2017Q1实现量产但产能规模较小,且在10nm、7nm尚未有公开计划。

中芯国际:起步较晚,与前三大主导厂商仍间隔两代的技术差距,于2015年末推出28nm PolySiON,于2016年底实现28nm HKMG小批量生产,目前仍处于良率爬坡阶段。且与华为、高通及比利时微电子中心合作,加紧开发14nm工艺,预计于2019年前实现14nm的量产。

华力微电子:国内华虹集团成员企业,最先进制程为40nm,28nm已成功流片,但营收规模较中芯国际差距明显。

2.2.3、三线厂商:专注8寸特色工艺平台

相较前两大阵营,后排厂商技术差距明显,技术节点大多停留在8寸微米制程级别。由于8寸晶圆厂已基本折旧完毕且制程研发投入甚小,利润率相对较为理想。鉴于8寸市场需求较为稳定,且晶圆厂产能扩张空间有限,8寸晶圆代工厂业绩弹性相对较小,产能利用率提升将带来其盈利水平进一步改善。

TowerJazz与Panasonic合作的日本晶圆厂最先进制程为45nm;***厂商力晶科技非存储器工艺制程仍停留在55nm;国内厂商武汉新芯最小技术节点为45nm;而世界先进、Dongbu HiTek、X-Fab以及国内华虹宏力、华润上华、上海先进等均专注于8寸特色工艺平台,最新技术节点为90nm及以上成熟制程。

3、享受大陆市场高成长,本地优势为突围关键

3.1、大陆厂商占据本地优势,包揽大陆代工半壁江山

当前大陆IC设计客户普遍制程要求相对较低,大多仍处于向28nm制程迁移的过程中,对成熟制程需求依然旺盛。大陆晶圆代工厂已掌握28nm及以上节点,具备能力满足大多数大陆客户需求。相较于台积电等海外厂商,大陆代工厂在同等制程上可为大陆客户提供更高的产能保证,配备自身最优质的资源,且基于自身地域优势,产品生产周期得以缩短,因此大陆客户在技术相当的前提下更加倾向于选择本地代工厂。随着大陆半导体虚拟IDM生态不断完善,大陆IC设计与晶圆代工厂之间的优先合作关系将得以升级,带来大陆晶圆代工厂的本地优势持续巩固。

结合国内外晶圆代工厂营收及中国区营收占比,我们预计2017H1大陆纯晶圆代工市场规模约35亿美元。尽管在全球范围内大陆晶圆代工厂销售份额仅为10%,但在大陆市场,大陆晶圆代工厂整体销售份额约达35%,可见大陆晶圆厂本地化优势明显。分厂商而言,台积电依旧占据大陆代工市场的半壁江山,但垄断程度有所减弱,销售份额约46%。中芯国际以20%的份额稳居第二,华虹则以6%的份额位居第五位。

关于晶圆代工的分析介绍

结合各晶圆代工厂中国区营收及晶圆ASP,我们预计2017H1大陆市场晶圆代工出货量合计约4400K。考虑到大陆厂商ASP相对更低,市场出货份额进一步向大陆厂商集中达67%。分厂商而言,台积电占据大陆市场最大出货,但份额收窄至28%。中芯国际以22%的份额紧随其后,华虹则以11%的市占率跻身前三。

关于晶圆代工的分析介绍

3.2、外资企业在华扩产迅速,但对大陆代工业现有制程业务冲击有限

大陆IC设计市场增长远高于全球,下游广袤市场吸引国内外厂商纷纷在大陆增设晶圆产能,抢食高速增长的大陆市场份额。当前中国大陆12寸及8寸现有晶圆产线合计36条(包括现有产线20条,在建及计划16条),其中超过50%的晶圆产线(包括现有12条,在建及计划8条)均集中在存储器或IDM业务(包括:三星、英特尔、SK海力士三大国际IDM厂商在大陆的晶圆产线,和大陆长江存储、晋华集成、士兰微等企业的晶圆产线),与纯晶圆代工业务重合度较小。

剔除IDM或存储器业务晶圆产线后,就纯晶圆代工,内资外资厂商在建及计划产线合计8条,且基本集中在12寸。在建及计划12寸晶圆厂产线合计7条,计划产能合计为375K,在建8寸纯晶圆代工产线仅中芯国际1条,计划产能为105K。

关于晶圆代工的分析介绍

关于晶圆代工的分析介绍

基于2016年及以前建成的现有晶圆厂2016-2021年间产能年均增长5%或于2021年达致最大设计产能, 2017年建成及2018/2019年投产晶圆厂将于2021年达到85%/80%/70%的计划产能的假设,我们预计2021年大陆8寸晶圆代工厂产能将达865K/m,2016-2021年间复合增速为6%,内资及外资晶圆代工厂产能扩张均较为平稳;2021年大陆12寸晶圆代工厂产能将达457K/m,2016-2021年间复合增速达24%,在内资及外资晶圆厂的共同推动下预计将进入快速扩张状态。

关于晶圆代工的分析介绍

从产能角度看,台积电、联电大陆晶圆产能扩张仍面临***法规限制其大陆晶圆厂30亿美元单笔投资额限制,现有或在建大陆12寸晶圆厂计划产能仅为20K,产能规模优势尚不能彰显。据我们预测,外资12寸晶圆厂虽扩张更为迅速,但2021年12寸产能占比仍仅为21%,对大陆晶圆代工业产能冲击影响有限。

从制程角度看,台积电、格罗方德、联电三大国际领先厂商在大陆布局重点均在28nm及以下制程。联电已在厦门厂引入28nm产线;台积电、格罗方德均在大陆建设12寸晶圆厂,陆续引入16nm FinFET及22nm FD-SOI制程。我们认为,外资晶圆厂在华扩产或在先进制程领域给大陆厂商施加竞争压力,倒逼其完善28nm产品组合并加速攻克14nm。然而大陆晶圆代工厂当前营收贡献基本来自28nm以上的成熟节点,外资晶圆厂28nm及以下先进节点产能释放对大陆代工业现有制程业务影响较小。

鉴于下游IC设计业快速成长带来晶圆代工刚需,大陆代工厂产能规模及本地化优势依旧稳固,我们认为大陆晶圆代工厂通过把握现有制程市场仍能实现快速成长,预计未来三年大陆晶圆代工业复合增速在15%以上。

4、对标***晶圆双雄,看大陆龙头成长路径

大陆晶圆代工业仍处起步阶段,技术及规模较主导地区***差距明显。随着全球摩尔定律放缓、下游国产终端品牌崛起、国家加速重视及资金扶持,大陆晶圆代工业已进入关键成长期。清楚认知与***主导厂商间的差距及优劣势,以便明晰发展路径实现精准发力,显得尤为重要。

台积电为全球晶圆代工业绝对龙头,代表攻克最先进制程10nm的一线阵营厂商;联电、中芯国际最新技术节点分别为14nm/28nm,分列全球市占率第三、四位,代表攻克长周期制程28nm的二线阵营厂商,且中芯国际为大陆晶圆代工业先进工艺标杆,占据大陆晶圆代工市场绝对龙头地位(市占率为57%);华虹专注于8寸特色工艺平台,代表聚焦成熟制程的三线阵营厂商。

因此本文选取***晶圆代工两大龙头厂商台积电、联电与大陆两大龙头厂商中芯国际、华虹半导体,就经营属性(包括技术制程结构、下游应用结构、晶圆ASP、产能利用率)、财务指标(营收增速、利润率、资本开支、研发费用及资本-营收/净利润转化率等)进行多维度横向对比,由此一窥全球晶圆代工业不同阵营厂商经营属性及大陆晶圆代工业前景。

4.1、营收增长:中芯有望于2021年赶超联电跻身全球前三

纵观台积电、联电、中芯国际及华虹的历史业绩数据,可以发现:

2005-2007年间,便携式音乐播放器、移动电话等电子消费品的半导体芯片需求旺盛,带动台积电、联电、中芯国际三家公司营收持续增长。2008年美国次贷危机爆发引发全球金融危机,联电及中芯均出现大额净亏损。2009年全球半导体市场全面衰退,联电营收继续下滑,全球代工龙头台积电营收亦同比下滑11%,而中芯因管理层变动影响营收下降更为明显,同比下降21%。

在全球宽松货币政策的驱动下,2010年全球经济强势反弹,刺激半导体需求大幅上扬,台积电、联电及中芯营收同比增速分别为41%、38%、45%。2011年全球GDP增速回落,半导体市场景气度未明,三家公司营收增速均迅速回落,而中芯因经营权之争,营收下滑则更为严重。

2012-2014年间,智能手机、平板等终端设备兴起,半导体市场整体回暖,晶圆代工市场因此受益。三年间台积电营收增速分别高达19%、18%、28%;联电增长已显乏力,同比增长率分别为-1%、7%、13%;中芯2012年及2013年营收增速分别为29%、22%,2014年因武汉业务退出影响而暂时负增长;华虹营收同比增速分别为-6%、2%、14%,逐渐恢复健康增长。

2015年智能手机市场增速骤然放缓,终端电子市场新的增长点还未充分显现,晶圆代工业增速亦开始放缓。基于台积电在全球代工业的霸主地位继续巩固,台积电2015、2016、2017年营收仍然实现11%、10%、9%的同比增长。而中芯国际作为大陆晶圆代工龙头,则得以享受大陆市场的高速增长,2015、2016年营收同比增长率分别为14%、30%,2017年受先进制程迁移影响营收增速骤然放缓至6%。与此同时,联电则处于前后夹击的困境中,论技术制程不及台积电,论大陆市场竞争力则不及中芯国际,2015、2016年营收增长相较前两者依旧疲软,同比增长率仅为3%、0%,2017年受汇率调整影响美元计收入实现同比增长7%,利润率受折旧研发拖累降幅明显。华虹2015年营收亦有所下降,而后迅速回升,受益于8寸需求旺盛,2016、2017年维持11%、12%的稳健增长。

关于晶圆代工的分析介绍

鉴于台积电在先进制程、产能、人才、客户等多维度卡位优势明显,预计台积电在未来五年仍将延续绝对霸主地位,营收规模保持略高于全球代工业的健康增长。格罗方德相比联电在先进制程领域投入更为激进,市占率预计将保持在第二位。基于先进制程研发未及预期、成本结构拖累利润的困境,联电已选择退出先进制程竞赛,停留在14nm节点,营收增长动力略显不足。

随着AP等产品加速向28nm及以下制程迁移,中芯国际营收由前期的高速增长切换至平稳增长阶段,从战略层面由前期的把握现有制程切换至优化28nm工艺及加速14nm先进制程。目前14nm已进入集中研发攻克阶段,与联电的技术差距逐步缩减。

鉴于28nm技术及良率瓶颈期突破,部分产品向40nm及55/65nm迁移带动12寸成熟工艺需求回暖、差异化工艺平台的陆续发布以及中国区优势地位,预计中芯国际未来三年复合增速达15%。我们保守预计中芯国际及联电未来六年营收复合增速分别为15%/5%,中芯国际与联电的规模差距将持续缩减,有望于2023年赶超台联电。

4.2、制程结构:技术节点相对滞后,聚焦差异化寻求增长

台积电制程结构高端化明显,主要聚焦于先进制程市场。2017年65nm及以下制程营收占比为80%,前三大主力制程分别为16/20nm、28nm、40/45nm。其中28nm及以下制程营收占比已达58%。随着苹果A11处理器顺利出货,10nm制程开始放量,营收占比由2017Q2的1%迅速爬升至2017Q4的25%。

联电同时兼顾先进制程及成熟工艺市场。2017年65nm及以下制程及90nm及以上营收占比分别为57%、43%,前两大主力制程分别为40nm、28nm。28nm及以下先进制程营收占比则为17%,基本由28nm制程贡献。于2017Q1攻克14nm后有效产能仍相当有限,2017年14nm营收占比仅为1%。

中芯国际与联电制程结构较相似。2017年65nm及以下制程及90nm及以上营收占比分别为49%、51%,前三大主力制程仍为0.15/0.18μm、40/45nm、55/65nm。公司于2015年末攻克28nm,随着良率逐步改善,28nm于2016年末陆续放量,2017年营收占比达8%。

专注于8寸晶圆代工的华虹宏力制程结构则较为低端化。前两大主力制程为≥0.35μm及0.11/0.13μm,目前正积极推进嵌入式闪存等产品由0.11/0.13μm向90nm迁移。

关于晶圆代工的分析介绍

4.3、下游应用:聚焦消费电子市场,与自身客户需求匹配

根据WSTS统计,全球半导体产业下游应用市场中,2015年通讯、计算机电子应用占比分别为34%、30%,消费电子应用以13%的份额位居第三。

台积电核心应用领域为通讯,占比高达59%;其次为工业,占比约23%,而消费仅占8%。

大陆厂商则更加聚焦于消费电子领域,这与大陆晶圆厂自身的技术能力、市场位势及客户需求相匹配。消费电子应用主要包括智能卡、电视、机顶盒、IoT等,尽管其所需制程技术相对低端,目前仍主要停留在微米级别,但消费电子市场仍需求巨大。中国IC设计公司通过聚焦于该领域而获得较快成长,且目前已占据较高市场份额。基于中国客户营收占据大陆晶圆厂总营收的半壁江山,中芯及华虹来自消费电子的营收占比较大(2017年中芯、华虹来自消费电子的营收占比分别达37%、69%)。

关于晶圆代工的分析介绍

4.4、晶圆价格:ASP表现相对弱势,难享先行者溢价

先进制程与落后制程的单片逻辑晶圆价格相差甚大,制程结构差异直接导致厂商间ASP差距明显。鉴于先进产能的稀缺性,抢先量产者可因此获得苹果、高通等顶级客户的高端订单,且在价格谈判上掌握一定的主动权,台积电便是借此实现每个制程阶段的ASP爬升。

2011-2017年间,台积电凭借持续的制程领先优势及更高端的产品结构,晶圆综合ASP逐渐由2011年的1057美元攀升至2017年的1309美元。

关于晶圆代工的分析介绍

基于联电、中芯相对台积电较为落后的制程进度,两者难以享受先行者的价格溢价,ASP表现相对弱势。2011-2017年间,联电晶圆综合ASP下降趋势明显,由2011年的871美元降至2017年的722美元。

中芯国际晶圆综合ASP由2013年的758美元峰值缓慢下降至2017年的705美元。在自身制程结构较联电相对低端的基础上,中芯ASP仍维持与联电基本相当,由此可见中芯在相同制程工艺平台优势及较高议价能力。

华虹宏力由于其纯8寸晶圆构成,ASP仅约为中芯的二分之一,2017年8寸晶圆供不应求带来其ASP两年来首度上扬,2017年达423美元。

关于晶圆代工的分析介绍

4.5、研发及CAPEX:跟随者成本优势,节省研发及资本开支

随着晶圆制造不断向更精细化的制程演进,晶圆厂商所需要的研发投入及资本支出呈指数型增长态势。就先进制程而言,中芯国际身处第二梯队,有一定的跟随者成本优势,研发投入及资本开支大大缩减。

就资本开支而言,2011年以来台积电资本性支出均维持在70亿美元以上的超高水平,2017年高达109亿美元。2012年以来中芯资本性支出逐年攀升,2016年基于当时产能满载背景迅速扩产,资本支出达27亿美元与联电基本持平,2017年资本支出略有回落至25亿美元。而8寸晶圆厂华虹产能扩张较为有限,2017年资本支出仅为1.4亿美元。

关于晶圆代工的分析介绍

据IEK2016年数据,晶圆制造领导者相对于跟随者需多付出35%以上的研发投入。为保持制程领先优势,台积电每年均付出巨额的研发投入,2017年研发费用高达27亿美元,联电、中芯的研发费用则分别为4.5亿美元、4.3亿美元,与台积电几近相差一个量级。

关于晶圆代工的分析介绍

从研发费用率看,2013年以来中芯研发费用率逐年攀升,2017年显著提升至14%,尽管从绝对额上看公司研发投入与台积电差距较大,但研发费用率仍高于台积电,足见公司追赶联电、台积电技术制程的决心。而华虹专注于8寸晶圆制造,在技术追赶上积极性不高,近两年研发费用率维持在6%的较低水平。

关于晶圆代工的分析介绍

4.6、资本变现:不同的市场定位,相当的资本变现力

我们通过用2011-2017年间营收增加额(净利润增加额)/2011-2016年总资本支出额来计算评价公司资本投入对于实际营收(净利润)的转化效果。统计发现,2011-2016年间,每投入一元钱,台积电可以产生的销售额约为0.35元,中芯国际略低但仍达0.24元,联电则仅为0.12元。每投入一元钱,台积电可以产生的利润额约为0.13元,中芯国际约为0.05元,联电则仅为0.005元。鉴于华虹宏力专注于纯8寸晶圆代工,其资本性开支微乎其微,依托于中国快速发展的消费电子市场,华虹宏力近五年营收亦在波动中上行,因此资本支出-营收/净利润转化率较为领先。

尽管营收、净利润、资本支出总额与台积电均有较大差距,但凭借准确的市场定位及发展路径,中芯国际历年资本支出对营收的转化能力与台积电差距较小;而联电则不甚理想,尽管历年资本支出额均高于中芯国际,但其转化能力远远不及中芯国际。

关于晶圆代工的分析介绍

4.7、利润率:易受折旧研发拖累,产能利用率为改善关键

半导体行业产能过剩背景下,晶圆代工需求倾向于向绝对龙头台积电聚集,其他晶圆代工厂产能利用率与行业整体景气度联动性较大,由于折旧额的固定成本属性将进一步影响利润率表现。另一方面,晶圆厂大幅扩产后产能利用率需要一段时间爬坡,未形成对折旧费的有效摊薄,利润率也将短期承压。

2011年全球经济疲软,半导体行业不景气,联电及中芯国际产能利用率均达致历史低位。2012年智能手机终端渗透带动半导体市场景气度回升,与之伴随的是联电、中芯产能利用率爬坡。2015年智能手机增速骤然放缓,导致联电、华虹产能利用率逐季度下滑,而中芯依托高速增长的大陆市场及多样化产品及客户战略,仍连续四季度产能利用率均达到或超过100%。

2016年智能手机升级带来硅含量增加,物联网、汽车电子等新兴领域需求逐渐释放,半导体产业回暖,联电与华虹产能利用率逐渐爬升而后趋于稳定,2017Q4分别为90%、97%。而中芯由于产能迅速扩张,晶圆出货未有相应提升,导致产能利用率下降明显,2017Q4小幅回升至86%。

关于晶圆代工的分析介绍

就毛利率而言,随着台积电寡头垄断地位的持续巩固,较高的议价能力及技术领先地位保障其较高产能利用率及产品综合ASP不降反升,台积电毛利率由2011年的45.5%缓慢上升至2017年的50.6%。2012年受全球IC市场景气度影响,中芯国际、华虹与联电毛利率水平均不甚理想,而后各有回升。8寸厂华虹折旧支出小,较高的产能利用率保障其近三年毛利率维持在30%以上的高水平。中芯因迅速扩产带来折旧额增大、产能利用率下降导致毛利率由2016年的29%降至2017年的24%,且存在继续下探风险。而联电毛利率受折旧拖累,表现最为弱势,2014年以来毛利率逐年下降,2017年仅为18%。

关于晶圆代工的分析介绍

从净利率看,台积电净利率始终远高于业内其他竞争者,2017年净利率达35%。华虹因其折旧及研发投入较小而享有较为可观的净利率,2013年以来净利率逐年攀升,2017年净利率达18%。中芯国际于2012年才扭亏为盈,2012-2015年间净利率在波动中上行,2016年净利率达13%,2017年受产能利用率下降及研发投入加大影响迅速回落至4%。而联电得益于各项费用的合理管控,2017年净利率略有回升至6%。

关于晶圆代工的分析介绍

5、营收高成长性明确,盈利质量仍待提升

国家政策推动人才、资金等生产资源加速向半导体产业集中,引导产能建设及研发进程加快,大陆半导体产业通过技术积累及早布局,具备能力把握潜在需求换代机遇,预计将成为半导体产业第三次迁移地。大陆晶圆代工厂技术相对滞后,最先进节点为28nm,较国际龙头台积电有两代技术差距,但已具备能力满足绝大多数客户需求。鉴于下游IC设计业快速成长带来晶圆代工刚需,大陆代工厂产能规模及本地化优势依旧稳固,我们认为大陆晶圆代工厂通过把握现有制程市场仍能实现快速成长,预计未来三年大陆晶圆代工业复合增速在15%以上。

由于晶圆制造业的高技术壁垒,台积电绝对龙头地位短期难以撼动,而大陆若想实现突围,在市场、政策及资金支持之外,仍须实现自主技术研发力量的增强。大陆先进工艺标杆中芯在战略层面仍处于避免与台积电正面竞争的状态,通过聚焦差异化市场寻求增长,体现在制程结构、ASP、利润率等方面的相对弱势。

随着先进制程加速迁移、成熟制程市场竞争加剧以及各国际厂商在中国区重点布局先进制程,大陆晶圆代工业技术薄弱的短板逐渐凸显。且为达致技术及产能优势,仍需巨额资本开支及研发投入,利润率短期恐难显著改善。期待大陆晶圆代工企业提升现有节点工艺竞争力,加速攻克先进节点,依托本土高速成长的IC设计需求,尽快实现盈利质量提升。

6、全球半导体产业链各环节龙头厂商估值对比

通过梳理全球半导体产业链各环节龙头厂商估值情况发现,IC设计环节及其支撑产业链因其更高技术壁垒及更高ROE享受明显的估值溢价,PE水平普遍较高,加上轻资产属性PB水平明显高于其他环节。其中IC设计环节具备技术及渠道双壁垒,2018年平均PE高达30x,PB较高达5.8x;IP核2018年平均PE处于高位达28x,PB最高达9x;EDA工具2018年平均PE则为22x,PB达5x。

晶圆代工及封测环节及其支撑产业链估值水平相对偏低。晶圆代工(剔除中芯国际)及封测环节2018年平均PE各为16x /19 x,由于其重资产属性PB分别为2.1x / 2.0x。支撑产业链中,材料环节2018年平均PE为17x,PB为2.3x;设备环节2018年平均PE则为19x,PB为4.0x。而IDM厂商因其体量较大估值最低,2018年平均PE为10x,PB为1.6x。

关于晶圆代工的分析介绍

关于晶圆代工的分析介绍

就晶圆代工而言,晶圆代工为重资产行业,产能扩张巨额投入方能彰显规模经济优势,因此采用PB相对估值法较为合理。全球晶圆代工企业2018年PB差异大,在0.8-3.9x区间,行业平均PB为2.1x。

在***上市,专注代工生产砷化镓微波积体电路的稳懋半导体(3105 TT EQUITY)因为苹果代工制造3D感测芯片而享受明显的估值提升,2018年PB为同业最高达3.9x;全球晶圆代工绝对龙头台积电因技术及规模优势显著,持续引领全球代工业而享受一定的估值溢价,2018年PB达3.3x;全球第一大纯8寸晶圆代工厂、深耕显示器相关IC、模拟及混合讯号市场的世界先进(5347 TT EQUITY)受益8寸市场持续高景气同样享受估值提升,2018年PB达3.3x。而全球纯晶圆代工行业排名第三的联华电子(2303 TT EQUITY)由于其面对先进制程研发不及预期、成本结构拖累利润的困境,估值水平为同业最低,2018年PB仅为0.8x。

在美国上市,全球纯晶圆代工行业排名第六、主营密集型混合信号半导体器件的TOWER SEMICONDUCTOR(TSEM USEQUITY)因其盈利能力改善明显,2018年PB高达2.1x。

在韩国上市,全球第三大纯8寸晶圆代工厂东部高科(000990 KS Equity)因其业绩成长性欠佳及韩国市场估值普遍偏低,2018年PB仅为1.0x。

在香港上市的大陆晶圆代工两大龙头较同业估值明显偏低。全球第二大纯8寸晶圆代工厂、大陆第二大晶圆代工厂华虹半导体(1347 HK EQUITY)受益8寸市场持续高景气已享受一定的估值提升,但2018年PB仍仅为1.1x;全球第四大纯晶圆代工厂、大陆晶圆代工绝对龙头中芯国际(981 HK EQUITY)由前期高速增长切换至平稳增长的过渡阶段,利润率短期仍受折旧研发拖累,估值水平较低,2018年PB为1.3x。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 芯片
    +关注

    关注

    446

    文章

    47690

    浏览量

    408826
  • 半导体
    +关注

    关注

    327

    文章

    24419

    浏览量

    201811
  • 晶圆代工
    +关注

    关注

    6

    文章

    796

    浏览量

    48335
收藏 人收藏

    评论

    相关推荐

    传台积电2023年起 代工报价至少上涨3%

    代工
    电子发烧友网官方
    发布于 :2022年08月26日 17:52:45

    #硬声创作季 代工大厂世界先进产线大揭秘!又一家代工大厂产线曝光

    代工
    Mr_haohao
    发布于 :2022年10月21日 22:20:30

    制造流程简要分析

    `微晶片制造的四大基本阶段:制造(材料准备、长与制备)、积体电路制作,以及封装。
    发表于 12-01 13:40

    代工行业研究珍贵资料

    `代工行业研究珍贵资料[hide][/hide]`
    发表于 12-01 13:46

    全球十大代工厂【经典收藏】

    2010年全球前十大代工排名出炉,台积电继续稳居第一,联电依然排行第二,合并特许半导体后的全球(Globalfoundries)挤入
    发表于 12-01 13:50

    是什么?硅有区别吗?

    `什么是硅呢,硅就是指硅半导体积体电路制作所用的硅晶片。是制造IC的基本原料。硅
    发表于 12-02 14:30

    代工互相争夺 谁是霸主

      观点:随着市场竞争加剧的演变,台积电本有的地位也受到了威胁。再加上三星、英特尔的挑战,让一路走来,始终第一的***代工业有所警觉。为维持竞争优势,台积电已开始着手上下游整合,以巩固台积电龙头
    发表于 08-23 17:35

    关于的那点事!

    1、为什么要做成的?如果做成矩形,不是更加不易产生浪费原料?2、为什么要多出一道研磨的工艺?为什么不能直接做成需求的厚度?
    发表于 01-20 15:58

    失效分析划片Wafer Dicing

    划片 (Wafer Dicing )将或组件进行划片或开槽,以利后续制程或功能性测试。提供
    发表于 08-31 14:16

    射频从业者必看,全球最大的砷化镓代工龙头解读

    厂商大放异彩。其中砷化镓代工龙头稳懋就是最大的受益者。 稳懋:全球最大的砷化镓代工龙头
    发表于 05-27 09:17

    针测制程介绍

    针测制程介绍  针测(Chip Probing;CP)之目的在于针对芯片作电性功能上的 测试(Test),使 IC 在进入构装前先行
    发表于 05-11 14:35

    会涨价吗

    代工厂、IDM厂、记忆体厂等近期持续提高硅库存水位,以避免出现断链风险,在库存回补需求带动下,包括环球、台胜科、合、嘉
    发表于 06-30 09:56

    元回收 植球ic回收 回收

    ,、WAFER承载料盒、提篮,芯片盒,包装盒,包装,
    发表于 07-10 19:52

    切割/DISCO设备

    有没有能否切割/硅材质滤光片的代工介绍下呀
    发表于 09-09 15:56