电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>存储技术>Altera的FPGA与Micron混合内存立方实现互操作,共同引领业界

Altera的FPGA与Micron混合内存立方实现互操作,共同引领业界

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

怎样为自己的设计选择Altera Cyclone V FPGA

Altera的Cyclone V FPGA目前是业界系统总成本和功耗最低的FPGA,那我们该怎样为自己的设计选择Altera Cyclone V FPGA?参考本文...
2013-02-26 10:34:362469

Altera发布首款28nm FPGA开发套件

Altera公司日前宣布开始提供第一款带有28-nm FPGA的开发套件——Stratix V GX FPGA信号完整性套件,在推动业界28-nm FPGA发展方面树立了新里程碑。
2011-09-08 09:03:08726

Altera推出全系列28nm FPGA产品

Altera公司宣佈开始量产出货28nm FPGA产品系列所有的叁个产品,包括Stratix V、Arria V与Cyclone V元件。Altera 最新推出的是它的低成本、低功率消耗产品系列中容量最大的Cyclone V FPGA,为业界
2012-09-06 09:02:151535

Altera推出业界带宽最大的28nm中端FPGA

电子发烧友网讯 :Arria V GZ拓展了Altera中端FPGA系列,满足广播和通信系统日益增长的带宽需求。随着Arria V GZ型号的推出,进一步拓展了公司的28 nm系列产品。 Altera公司 (NASDAQ: ALTR)
2012-10-16 12:53:181298

Altera CEO:Altera 2012年FPGA的变革所在?

Altera fpga在2012年的变革所在:继续在28nm以及后续节点与代工线伙伴合作,不断在工艺、器件和电路上实现创新,保持我们在硅片和电路上的技术领先优势...
2013-01-21 15:19:50940

突出灵活与性能优势,Altera展示业界最全面28nm FPGA器件

Altera公司于2013年1月22日在北京演示目前业界最全面的28nmFPGA器件系列产品(包括 Stratix V,Arria V,Cyclone V,SoC FPGA)所提供的灵活性与性能
2013-01-23 09:04:18971

全面降低系统功耗 Altera业界首款低功耗28nm FPGA

Altera推出业界唯一投产的低功耗28 nm Cyclone® V GT FPGA,帮助开发人员降低了PCIe Gen2应用的系统总成本,并全面通过了PCI Express® (PCIe®) 2.0规范的兼容性测试。
2013-03-19 12:37:392139

Altera展示业界第一款QPI 1.1 FPGA本地代理,增强了服务器功能

Altera公司今天宣布,在业界首次展示Intel QuickPath互联(QPI)协议1.1支持的FPGA本地代理(Home Agent)。
2013-04-11 11:35:361269

简谈Altera和Xilinx的FPGA区别

大家好,又到了每日学习的时间了,最近有很多人再问我学习FPGA到底是选择Altera的还是xilinx的呢,于是我就苦口婆心的说了一大堆,中心思想大概就是,学习FPGA一定要学习FPGA的设计思想
2018-05-29 10:41:2845675

FPGA程序中内存实现方式

 一个卷积操作占用的内存 2. PipeCNN可实现性     PipeCNN论文解析:用OpenCL实现FPGA上的大型卷积网络加速     2.1 已实现的PipeCNN资源消耗 3. 实现大型神经网络
2022-07-10 09:24:451672

12V直流输入电压的混合存储立方体第2代电源设计包括BOM及层图

描述PMP20080 是用于操作 5 条输出轨的强大设计,可应用到混合内存立方体。此参考设计将 5 个同步降压与多个不同的控制器结合使用,可实现一组经过良好调节的输出。此外,PMP20080 还支持
2018-09-27 08:39:18

ALTERA FPGA 配置芯片剩余空间使用的问题

想把altera fpga配置芯片剩余的存储空间作为其他数据的存储空间用,可以吗?如果行,该如何操作了呢?
2013-03-04 19:49:06

Altera FPGA/CPLD经典教材

Altera FPGA/CPLD设计与Verilog数字系统设计教程从网上找到了一些Altera FPGA/CPLD经典教材,包含夏宇闻老师的Verilog数字系统设计教程(第2版)Altera FPGA/CPLD设计与Verilog数字系统设计教程
2014-02-17 09:22:18

Altera FPGA应用创新博文大赛开始了!千元购物卡等你拿!

小石头211【Altera博客大赛】 异步SRAM的FPGA读写操作小石头211【Altera博客大赛】IIC总线的FPGA实现之总结南盗【Altera博客大赛】+dsp读写fpgaMCU学习
2013-11-21 15:58:50

Altera内存解决方案

支持多种存储器接口,适用于路由器和切换到摄像机。您可以使用内存轻松实现Altera的知识产权(IP)MegaCore通过Quartus Prime软件运行。e Quartus Prime软件还提供外部
2023-09-26 07:38:12

Altera率先交付高性能28nm FPGA量产芯片

Altera公司近期宣布,开始交付业界第一款高性能28-nm FPGA量产芯片。Stratix V FPGA是唯一使用TSMC 28HP工艺制造的FPGA,比竞争解决方案高出一个速率等级
2012-05-14 12:38:53

INTEL的fpgaALTERA)和XILINX fpga的发展现状如何,学那个更好

各为大神元老,我想学习FPGA,但是了解到当下ALTERAFPGA资料和教材相对较多,操作简单好入门,但是据我了解,各大企业真正的运用中,XILINX的FPGA用的比较多,INTEL收购
2017-10-24 23:12:07

什么是Altera最新28nm FPGA技术?

1月22日,Altera 在北京展示了号称业界最全面的28nm 最新技术及强大解决方案。Altera公司的多位工程师为在京的媒体人士进行了讲解。
2019-08-21 07:37:32

什么是操作

操作概述空闲态移动性
2021-02-25 06:05:47

令人侧目的Altera 28nm FPGA芯片?

芯片市场优势  Altera 28nm Stratix V FPGA在高端应用市场中实现业界最大带宽和最高系统集成度, 非常灵活,降低了成本和总功耗。对于大批量产品,采用Stratix V FPGA
2012-09-21 13:49:05

分享一款不错的基于Altera FPGA的千兆以太网实现方案

千兆以太网技术是什么基于Altera FPGA的千兆以太网实现方案
2021-05-08 07:32:49

勇敢的芯伴你玩转Altera FPGA连载52:Altera FPGA配置方式之AS/PS/JTAG配置方式

/s/1i5LMUUD AS配置方式由FPGA器件引导配置操作过程,它控制着外部存储器及其初始化过程,EPCS系列配置芯片如EPCS1、EPCS4配置器件专供AS模式。使用Altera串行配置器件来完成
2018-03-05 16:30:35

勇敢的芯伴你玩转Altera FPGA连载5: Altera、Xilinx和Lattice

`勇敢的芯伴你玩转Altera FPGA连载5: Altera、Xilinx和Lattice特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s
2017-09-27 19:15:53

基于Altera FPGA的IP碎片重组模块实现

基于Altera FPGA的IP碎片重组模块实现 window.google_render_ad(); Implementation&
2008-10-07 11:01:03

基于Altera FPGA的IP碎片重组模块实现

是IDS/IPS中一个必不可少的操作。由于采用软件实现IP碎片重组的速度很低,很难达到高速接口的线速处理要求,所以在高速IDS/IPS上应采用硬件处理的机制。本文实现了一个基于Altera FPGA的IP
2008-10-07 11:00:19

推荐ALTERAFPGA电源器件选型手册

推荐ALTERAFPGA电源器件选型手册Power Management Reference Guide for Altera? FPGAs and CPLDsThis information
2009-12-17 15:21:27

智能电网中的三个操作架构远景是什么?

智能电网中的三个操作架构远景是什么?
2021-05-21 06:10:25

Altera公司用FPGA做DSP算法的工具

Altera公司用FPGA做DSP算法的工具
2006-03-25 13:46:4539

Altera FPGA/CPLD设计(高级篇)

Altera FPGA/CPLD设计(高级篇)》结合作者多年工作经验,深入地讨论了Altera FPGA/CPLD的设计、优化技巧。在讨论FPGA/CPLD设计指导原则的基础上,介绍了Altera器件的高级应用;引领读者
2009-02-12 09:19:124799

Altera FPGA芯片的封装尺寸选择指南

Altera FPGA芯片的封装尺寸选择指南
2009-03-28 14:48:06351

EPM570T144C5N ALTERA 阿尔特拉 FPGA现场可编程逻辑器件

EPM570T144C5N ALTERA 阿尔特拉 FPGA现场可编程逻辑器件 
2022-08-04 10:09:21

altera fpga/cpld设计

altera fpga/cpld设计 基础篇结合作者多年工作经验,系统地介绍了FPGA/CPLD的基本设计方法。在介绍FPGA/CPLD概念的基础上,介绍了Altera主流FPGA/CPLD的结构与特点,并通过丰富的实例讲解
2009-07-10 17:35:4557

ALTERAFPGA电源器件选型手册[英文]

ALTERAFPGA电源器件选型手册[英文]
2009-08-08 15:39:1378

简化Xilinx和Altera FPGA调试过程

简化Xilinx和Altera FPGA调试过程:通过FPGAViewTM 解决方案,如混合信号示波器(MSO)和逻辑分析仪,您可以在Xilinx 和Altera FPGA 内部迅速移动探点,而无需重新编译设计方案。能够把内部FPGA
2009-11-20 17:46:2626

EP4CE10F17C8N,Cyclone IV FPGA设备,INTEL/ALTERA

/ALTERAEP4CE10F17C8N,Cyclone IV FPGA设备,INTEL/ALTERAEP4CE10F17C8N,Cyclone IV FPGA设备,INTEL/ALTERA&nbs
2023-02-20 17:00:57

EP4CE10F17I7N,Cyclone IV FPGA设备,INTEL/ALTERA

/ALTERAEP4CE10F17I7N,Cyclone IV FPGA设备,INTEL/ALTERAEP4CE10F17I7N,Cyclone IV FPGA设备,INTEL/ALTERA&nbs
2023-02-20 17:03:19

EP4CE6F17C8N ,Cyclone IV FPGA设备,INTEL/ALTERA

/ALTERAEP4CE6F17C8N ,Cyclone IV FPGA设备,INTEL/ALTERAEP4CE6F17C8N ,Cyclone IV FPGA设备,INTEL/ALTERA&nbs
2023-02-20 17:05:47

基于Altera FPGA的千兆以太网实现方案

网络正在成为当今社会通信的骨干力量,现代化的设备迫切需要解决如何简捷高速的接入问题,描述了基于FPGA的嵌入式技术。利用Altera公司的千兆以太网IP核,简要介绍使用Altera的Qu
2010-12-23 16:28:5850

Dini推出业界容量最大的基于Altera Stratix

Dini推出业界容量最大的基于Altera Stratix III器件的ASIC Altera公司日前宣布,Dini集团在其业界容量最大的单板FPGA原型引擎中采用了具有340K逻辑单元(LE)的Strati
2008-11-12 10:36:25444

Altera新Cyclone IV FPGA拓展了Cyclo

Altera新Cyclone IV FPGA拓展了Cyclone FPGA系列 Altera拓展其成功的Cyclone FPGA系列并延续其收发器技术领先优势,于今天发布Cyclone IV FPGA新系列。在移动视频
2009-11-04 08:46:381221

Altera发布Quartus II软件9.1,延续了2到3

Altera发布Quartus II软件9.1,延续了2到3倍的编译时间优势 Altera公司宣布推出QuartusII软件9.1——在CPLD、FPGA和HardCopy ASIC设计方面,业界性能和效能最好的软件。与以前的软
2009-11-05 09:42:59958

Altera开始量产发售业界首款集成11.3-Gbps收发器

Altera开始量产发售业界首款集成11.3-Gbps收发器的FPGA Altera公司宣布,开始量产发售Stratix IV GT EP4S100G2 FPGA,这是业界首款集成了11.3-Gbps收发器的FPGA。Stratix IV GT FPGA是目前
2009-11-05 09:47:42615

Altera推出业界密度最大的Stratix IV EP4S

Altera推出业界密度最大的Stratix IV EP4SE820 FPGA Altera宣布,40-nm Stratix IV E FPGA高端密度范围增大到业界领先的820K逻辑单元(LE)。Stratix IV EP4SE820 FPGA业界同类产品中密度最大
2009-11-11 16:50:00838

Altera Stratix IV FPGA助推XDI db

Altera Stratix IV FPGA助推XDI dbX分析平台 Altera公司日前宣布,XtremeData有限公司下一代dbX系列数据库平台设计采用了高性能Stratix IV FPGA,该系列专门用于对大型数据库进行全
2009-12-04 08:37:02664

Altera推出面向Stratix IV FPGA的最新开发

Altera推出面向Stratix IV FPGA的最新开发套件 Altera公司近日宣布推出其面向 Stratix IV FPGA 的最新开发套件。Stratix IV E FPGA 开发套件具有业界最高密度、最高性能的 FPGA。该套
2009-12-09 08:45:26792

Altera推出具有530K逻辑元件FPGA 的开发套件

Altera推出具有530K逻辑元件FPGA 的开发套件   Altera 公司 (NASDAQ: ALTR) 今天宣布推出其面向 Stratix® IV FPGA 的最新开发套件。Stratix IV E FPGA 开发套件具有业界最高密度、
2009-12-10 17:08:09638

基于Altera FPGA的千兆以太网实现方案

基于Altera FPGA的千兆以太网实现方案 1 引言   在系统设备不断向小型化、集成化、网络化发展的今天,嵌入式开发成为新技术发展的最前沿,改变着系统的整
2009-12-26 09:10:324141

FPGA实现与40G QSFP光学模块的互操作

FPGA实现与40G QSFP光学模块的互操作性          Altera 公司 宣布其Stratix IV GT FPGA 实现了与Avago公司 的 40G 四通道小型可插
2010-04-02 10:49:212145

Altera推出业界带宽最大的28nm Stratix V

Altera推出业界带宽最大的28nm Stratix V FPGA Altera公司近日发布业界带宽最大的FPGA——下一代28-nm Stratix V FPGA。Stratix V FPGA具有1.6 Tbps串行交换能力,采用各种创新技术和前沿28-n
2010-04-22 10:39:54677

Altera业界首款集成增强前向纠错(EFEC) IP内核

Altera公司日前宣布,开始提供业界第一款集成增强前向纠错(EFEC) IP内核,该内核针对高性能Stratix IV和Stratix V系列FPGA进行了优化。
2011-03-09 09:42:311054

Altera、Apical和AltaSens联合发布业界首款HD宽动态范

Altera公司 (NASDAQ: ALTR)、Apical有限公司 (UK)以及AltaSens有限公司今天宣布,开始提供业界第一款HD WDR视频监控芯片组。这一独特的芯片组结合了Altera Cyclone IV E FPGA和安全芯片
2011-04-07 09:21:061260

Altera发售业界最复杂半导体 Stratix V FPGA

Stratix V FPGA是目前业界发售的最复杂的半导体。它是利用TSMC的28-nm高性能(28HP)工艺来提高性能和带宽的唯一FPGA。28HP工艺结合最优FPGA设计
2011-04-20 09:02:49488

Altera推出业界首款基于MIPS的FPGA软核处理器

Altera公司 (NASDAQ: ALTR)、MIPS科技公司(NASDAQ: MIPS) 以及System Level Solutions (SLS) 公司今天推出了MIPS-Based™、FPGA优化软核处理器,适用于Altera FPGA以及ASIC器件。
2011-06-01 09:35:53990

Altera推出业界第一款基于模型的FPGA浮点DSP工具

Altera公司日前演示了使用FPGA的浮点DSP新设计流程,这是业界第一款基于模型的浮点设计工具,支持在FPGA实现复数浮点DSP算法。伯克莱设计技术公司 (Berkeley Design Technology, Inc, BDTI) 进行
2011-09-15 08:48:58898

Altera演示业界首款FPGA的浮点DSP设计流程

Altera公司日前演示了使用FPGA的浮点DSP新设计流程,这是业界第一款基于模型的浮点设计工具,支持在FPGA实现复数浮点DSP算法。
2011-09-15 09:07:10613

Altera发布FPGA业界第一款SoC FPGA软件开发虚拟目标平台

Altera公司(Nasdaq: ALTR)今天宣布可以提供FPGA业界的第一个虚拟目标平台,支持面向Altera最新发布的SoC FPGA器件立即开始器件专用嵌入式软件的开发。在Synopsys有限公司成熟的虚拟原型开发解
2011-10-13 09:15:28678

Altera发布业界第一个面向FPGA的OpenCL计划

Altera公司(NASDAQ: ALTR)今天发布FPGA和SoC FPGA的开放计算语言(OpenCL™)标准开发计划。OpenCL标准是基于C语言的开放标准,适用于并行编程。Altera的OpenCL计划结合了FPGA的并行能力以及OpenCL标准
2011-11-16 16:12:08599

美光将利用IBM 3D制程制造首颗商用内存芯片

近日,IBM表示促使美光(Micron)的混合式记忆体立方体(Hybrid Memory Cube)在不久成为第一颗采用3D制程的商用芯片。
2011-12-01 09:09:00725

Altera率先实现Stratix V GX FPGA与PCIe Gen3交换机互操作

Altera公司(Nasdaq: ALTR)宣布,成功实现28-nm Stratix® V GX FPGA与PLX®技术公司(Nasdaq: PLXT) ExpressLane™ PCI Express® (PCIe®) Gen3的互操作
2011-12-14 09:28:09677

Altera首次演示FPGA与100Gbps光模块的互操作

2012年2月23号,北京——Altera公司(NASDAQ:ALTR)今天宣布,使用28-nm Stratix® V GT FPGA成功演示了与100-Gbps光模块的互操作性,从而支持实现下一代100-Gbps网络。
2012-02-24 08:41:251240

Altera首次演示FPGA与100-Gbps光模块的互操作

Altera Stratix V GT器件是业界唯一采用28-Gbps收发器技术的FPGA,支持实现下一代100-Gbps网络
2012-02-27 09:55:04773

Altera Stratix V FPGA实现业界第一款单芯片双路100G转发器

Altera公司(NASDAQ: ALTR)今天宣布,在28-nm高性能Stratix® V FPGA实现业界第一个单芯片双路100G转发器解决方案。
2012-03-05 09:40:11665

FPGA两难问题 混合系统架构来解决

在新的半导体制造工艺中,FPGA通常是最先被采用、验证和优化该工艺的器件之一。Altera公司资深副总裁,首席技术官Misha Burich认为,目前业界正面临着灵活性和效率的两难选择,集成微
2012-05-31 09:27:10929

Altera发售业界性能最好、具有背板功能的收发器Stratix V FPGA

Altera公司(Nasdaq: ALTR)今天宣布,开始批量发售FPGA业界性能最好、具有背板功能的收发器。
2012-08-03 09:38:03865

Altera FPGA的选型及开发

本资料是关于Altera FPGA的选型及开发,内容大纲是:AlteraFPGA体系结构简介;AlteraFPGA选型策略;嵌入式逻辑分析工具SignalTAPII的使用;基于CPLD的FPGA配制方法。
2012-08-15 14:48:34103

Altera公司SoC FPGA 简介

本文是关于Altera公司SoC FPGA 的用户手册(英文版) 。文中主要介绍了什么是SoC FPGA、SoC FPGA相关知识介绍、为什么要使用SoC FPGA以及SoC FPGA都应用到哪些方面。
2012-09-05 14:03:08153

Altera荣获CEN的2012年度FPGA技术创新奖

Altera公司 近日宣布,公司荣获了中国电子报(CEN)的2012年度FPGA创新技术奖。2012年8月17号在成都举行的年度FPGA行业发展论坛上,Altera被授予该奖项。该奖项是对Altera FPGA技术及其业界
2012-09-21 11:02:081185

面向Altera FPGA的OpenCL:提高性能和设计效能

开放计算语言(OpenCL)编程模型与Altera的并行FPGA体系结构相结合,实现了功能强大的系统加速解决方案。面向OpenCL的Altera SDK为您提供了设计环境,工程师很容易在FPGA实现OpenCL应用。
2012-11-06 14:56:421091

altera FPGA/CPLD高级篇(VHDL源代码)

altera FPGA/CPLD高级篇(VHDL源代码)
2012-11-13 14:40:38134

Altera Quartus II软件v13.0支持实现世界上最快的FPGA设计

Altera公司 (NASDAQ: ALTR)今天宣布推出Quartus® II软件13.0版,这一软件实现了性能最好的FPGA和SoC,提高了设计人员的效能。28 nm FPGA和SoC用户的编译
2013-05-07 14:30:303639

Mouser提供业界领先的Altera Cyclone V低功耗FPGA

Mouser Electronics正在备货Altera公司业界领先的28-nm Cyclone® V FPGA。 Cyclone V FPGA结合了高性能、业界最低的操作功耗以及系统成本,是工业、无线、有线、广播和汽车应用的理想选择。
2013-05-21 16:15:031103

Altera FPGA_CPLD设计(实例源代码)

Altera FPGA_CPLD设计(实例源代码)
2013-09-09 16:09:23442

Altera开始量售FPGA业界性能最高的SoC

级Cyclone V SoC达到了925 MHz,汽车级达到了700 MHz,工业级Arria V SoC达到了1.05 GHz,在FPGA业界,这些器件成为性能最高的SoC。Altera SoC为嵌入式开发人员提供了最可靠的体系结构、效能最高的开发工具以及密度最全的系列产品。
2013-09-26 17:48:23993

Altera面向OpenCL的SDK是FPGA业界首个实现Khronos标准

产品名录。Altera是唯一能够提供FPGA最优OpenCL解决方案的公司,支持软件开发人员充分利用FPGA大规模并行体系结构来实现系统加速。Altera将在10月16-17日在加州圣克拉拉举办的2013 Linley处理器大会上演示其OpenCL解决方案。
2013-10-17 15:55:071174

Altera出色的FPGA和SoC创新受DesignCon表彰

Altera的14 nm Stratix 10 FPGA和SoC以及ARM DS-5 Altera版SoC工具包赢得两项2014设计创意奖
2014-02-10 09:50:15821

Altera与风河公司建立战略合作关系 为Altera SoC平台定制操作系统和开发工具

Altera公司与Wind River®风河公司今天宣布,双方建立战略合作关系,为Altera的SoC FPGA器件开发并部署工具和解决方案。 风河公司业界领先的操作系统和开发工具支持Altera基于多核ARM™处理器的SoC平台。
2014-02-10 17:38:40914

Altera和IBM发布具有一致性共享存储器的FPGA加速POWER系统

2014年11月18号,北京——Altera公司(Nasdaq: ALTR)和IBM (NYSE: IBM)今天发布了业界第一款基于FPGA的加速平台,通过IBM的一致性加速器处理器接口(CAPI),实现FPGA与POWER8 CPU顺畅的连接。
2014-11-19 16:12:491295

Altera SoC FPGA开发者论坛直击系统设计难题

Altera全球SoC FPGA开发者论坛活动在深圳成功举行,Altera合作伙伴、FPGA开发者和工程师汇聚一堂,共同关注使用基于ARM的SoC FPGA中的精细粒度异构计算技术,在满足下一代嵌入式计算应用需求中,如何解决系统设计的难题。
2015-11-13 17:38:161927

开源硬件-PMP20080.3-12V 直流输入电压、混合存储立方体 (HMC)第 2 代电源 PCB layout 设计

PMP20080 是用于操作 5 条输出轨的强大设计,可应用到混合内存立方体。此参考设计将 5 个同步降压与多个不同的控制器结合使用,可实现一组经过良好调节的输出。此外,PMP20080 还支持随 PMBus 提供的可配置性。PMP20080 参考设计是完全使用标准组件设计的。
2015-12-11 11:04:2824

Altera_FPGA系统设计及应用

介绍Altera_FPGA的选型及开发,感兴趣的可以看看。
2016-03-28 18:04:5511

5款ALTERA FPGA开发板原理图合集

5款ALTERA FPGA开发板原理图合集
2017-01-18 20:35:09163

Altera彻底改变基于FPGA的浮点DSP

2014年4月23号,北京Altera公司 (Nasdaq: ALTR) 今天宣布在FPGA浮点DSP性能方面实现了变革。Altera是第一家在FPGA中集成硬核IEEE 754兼容浮点运算功能
2018-02-11 13:34:006954

Altera交付14.0版Quartus II软件,其编译时间业界最快

2014年7月1号,北京Altera公司(Nasdaq: ALTR)今天发布Quartus II软件14.0版FPGA业界性能和效能首屈一指的软件。Altera的这一最新版软件编译时间比竞争设计工
2018-02-11 13:37:004543

Arria 10混合存储器立方体控制器演示(2)

Arria 10混合存储器立方体控制器演示第二部分
2018-06-22 02:59:003197

Xilinx携手Pico推出业界首款混合HMC接口,主要针对All Programmable UltraScale器件

赛灵思公司(Xilinx)和同为混合内存立方体联盟(HMCC)成员的Pico Computing公司,今天共同宣布携手推出业界首款针对All Programmable UltraScale器件
2018-09-19 16:48:001948

通过Altera FPGA系统实现FPGA接口的简化设计

许多新式 FPGA 设计采用了一些用于控制的嵌入式处理器。一种典型解决方案需要使用诸如 NIOS 等嵌入式软处理器。另一种解决方案则使用包含一个内置硬处理器的 SoC 器件。图 1 示出了一个典型的 Altera FPGA 系统,该系统包含处理器和一系列通过 Avalon 内存映射 (MM) 总线连接的外设。
2018-09-27 08:54:003452

Altera推出Quartus II v13.0,支持实现世界上最快的FPGA设计

关键词:Quartus , FPGA , Stratix 与以前的版本相比,只需要一半的时间就能实现业界性能最好的设计 Altera公司今天宣布推出Quartus II软件13.0版,这一软件实现
2018-09-25 09:12:01575

Altera推出业界带宽最大的28 nm中端FPGA

关键词:Arria , FPGA Arria V GZ拓展了Altera中端FPGA系列,满足广播和通信系统日益增长的带宽需求 Altera公司今天宣布,随着Arria V GZ型号的推出,进一步
2018-09-30 00:13:02276

业界密度最大的Stratix IV EP4SE820 FPGAAltera

关键词:ALTERA , FPGA , Stratix , 密度 , 业界 Altera宣布,40-nm Stratix IV E FPGA高端密度范围增大到业界领先的820K逻辑单元(LE
2018-10-24 20:40:01419

采用XilinxVirtex-7 FPGA的12.5Gb/s HMC接口演示

观看业界首款采用XilinxVirtex®-7 FPGA的12.5 Gb / s混合存储器立方体(HMC)接口演示。
2018-11-29 06:46:002786

FPGA教程之ALTERA的CPLD与FPGA器件的详细资料说明

本文档的主要内容详细介绍的是FPGA教程之ALTERA的CPLD与FPGA器件的详细资料说明主要内容包括了:一、Altera器件一般介绍,二、MAX 7000 系列器件,三、FLEX10K系列器件,四、边界扫描测试
2019-02-27 17:27:3115

Altera的Stratix® V FPGA业界唯一能提供14.1 Gbps收发器带宽的FPGA

Altera公司日前宣布,开始批量发售FPGA业界性能最好、具有背板功能的收发器。Altera的Stratix® V FPGA业界唯一能够提供14.1 Gbps收发器带宽的FPGA,也是唯一
2019-05-27 10:33:261467

混合内存立方体--高效能内存解决方案

赛灵思公司电源和存储器技术市场总监Tamara Schmitz表示:“客户现在即能采用业界唯一出货的20nm FPGA以及经验证的IP核向市场推出15Gb/s HMC设计。
2019-07-24 15:03:502068

Altera气旋V系列FPGA的电源解决方案

Altera气旋V系列FPGA的电源解决方案
2021-04-22 20:30:257

Altera Arria V系列FPGA的电源解决方案

Altera Arria V系列FPGA的电源解决方案
2021-04-29 08:58:069

Altera Stratix V FPGA的电源解决方案

Altera Stratix V FPGA的电源解决方案
2021-04-29 09:41:475

Altera FPGA CPLD学习笔记

Altera FPGA CPLD学习笔记(肇庆理士电源技术有限)-Altera FPGA CPLD学习笔记                 
2021-09-18 10:54:4179

立方内存数据库管理系统获鲲鹏Validated认证

NEWS 近日,北京快立方科技有限公司快立方内存数据库管理系统获鲲鹏Validated认证。北京快立方科技有限公司联合鲲鹏计算产业生态重庆中心使用鲲鹏应用使能套件BoostKit对快立方内存数据库
2021-11-16 15:57:551378

已全部加载完成