您好,欢迎来电子发烧友网! ,新用户?[免费注册]

您的位置:电子发烧友网>源码下载>VHDL/Verilog/EDA源码>

ISE环境下基于Verilog代码的仿真测试pdf下载

大小:311KB 人气: 2018-02-24 需要积分:3
{$username}的空间

用户级别:注册会员

贡献文章:

贡献资料:

ISE环境下基于Verilog代码的仿真测试

ISE 环境下基于 Verilog 代码的仿真测试 在 Verilog 源代码编写完毕后,需要编写测试平台来验证所设计的模块是否 满足要求。ISE 软件提供了两种测试平台的建立方法,一种是使用 HDL Bencher 的图形化波形编辑功能编写,即波形图仿真;另一种就是利用 HDL 语言,即代 码仿真。由于后者功能更加强大,所以这里举例介绍基于 Verilog 语言的测试平 台建立方法。 本例为一个计数分频时序电路,主要是将 10MHz 的时钟频率分频为 500KHz 的时钟,源代码的编写过程中需要定义一个计数器,以便准确获得 1/20 分频。

第一步:建立工程后,编写如下源代码:

module fenpin(RESET,F10M,F500K);

input F10M,RESET; output F500K;

reg F500K;

reg[7:0] j;

always@(posedge F10M)

if(!RESET)

begin F500K<=0;

j<=0;

end

else

begin if(j==19) begin j<=0; F500K<=~F500K;

非常好我支持^.^

(0) 0%

不好我反对

(0) 0%

      发表评论

      用户评论
      评价:好评中评差评

      发表评论,获取积分! 请遵守相关规定!