您好,欢迎来电子发烧友网! ,新用户?[免费注册]

您的位置:电子发烧友网>源码下载>VHDL/Verilog/EDA源码>

VHDL源代码

大小:69 人气: 2010-02-09 需要积分:0
{$username}的空间

用户级别:注册会员

贡献文章:

贡献资料:

VHDL源代码
 library ieee;                      --显示器彩条发生器
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;


entity VGA is
   port(clk,mode   :in std_logic;    --扫描时钟/显示模式选择时钟
        d,hs,vs,r,g,b:out std_logic);  --行,场同步/红,绿,蓝
end VGA;

architecture a of VGA is
    signal hs1,vs1,fclk,cclk,divide_clk,dly:  std_logic;
    signal mmode :std_logic_vector(1 downto 0);     --方式选择
    signal cnt :std_logic_vector(2 downto 0);
    signal fs :std_logic_vector(3 downto 0);
    signal cc :std_logic_vector(4 downto 0);        --行同步/横彩条生成
    signal ll :std_logic_vector(8 downto 0);        --长同步/竖彩条生成
    signal grbh :std_logic_vector(3 downto 1);      --X 横彩条
    signal grby :std_logic_vector(3 downto 1);      --Y 竖彩条
    signal grbx :std_logic_vector(3 downto 1);      --文字
    signal grbt :std_logic_vector(3 downto 1);      --图案
    signal grbp :std_logic_vector(3 downto 1);     
    signal grb  :std_logic_vector(3 downto 1);
    signal x :integer range 0 to 800;
    signal x1: integer range 0 to 800;
    signal y1: integer range 0 to 600;
    signal x2: integer range 0 to 800;
    signal x3: integer range 0 to 800;
    signal x4: integer range 0 to 800;
    signal x5: integer range 0 to 800;
    signal x7: integer range 0 to 800;
    signal x8: integer range 0 to 800;
    signal x9: integer range 0 to 800;
    signal x10: integer range 0 to 800;
    signal x11: integer range 0 to 800;

    signal y2: integer range 0 to 600;
    signal y3: integer range 0 to 600;
    signal y4: integer range 0 to 600;
    signal y5: integer range 0 to 600;
    signal y6: integer range 0 to 600;
    signal c: integer range 0 to 30;

非常好我支持^.^

(7) 100%

不好我反对

(0) 0%

      发表评论

      用户评论
      评价:好评中评差评

      发表评论,获取积分! 请遵守相关规定!