电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>EDA/IC设计>Mentor Graphics获得TSMC 10nm FinFET工艺技术认证

Mentor Graphics获得TSMC 10nm FinFET工艺技术认证

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

三星使用EUV成功完成5nm FinFET工艺开发

已经完成,现在可以为客户提供样品。通过在其基于极紫外(EUV)的工艺产品中添加另一个尖端节点,三星再次证明了其在先进晶圆代工市场的领导地位。 与7nm相比,三星的5nm FinFET工艺技术将逻辑区域效率提高了25%,功耗降低了20%,性能提高了10%,从而使其能够拥有更多创
2019-04-18 15:48:476010

联电加入IBM技术联盟 携手奔向10nm工艺

。IBM、联电今天共同宣布,联电将加入IBM技术开发联盟,共同参与10nm CMOS工艺的开发。IBM技术开发联盟是一个由IBM领导的半导体行业组织,成立已有数十年,成员包括
2013-06-15 11:24:471043

全民聚焦FinFET,下一代晶体管技术何去何从

在近期内,从先进的芯片工艺路线图中看已经相当清楚。芯片会基于今天的FinFET工艺技术或者另一种FD SOI工艺的平面技术,有望可缩小到10nm节点。但是到7nm及以下时,目前的CMOS工艺路线图已经不十分清晰。大量的金钱和精力都花在探索FinFET工艺,它会持续多久和为什么要替代他们?
2014-02-25 10:16:565279

电子芯闻早报:三星、台积电、Intel 决战10nm制程

FinFET制程技术量产阶段的台积电,也传出将投入大量研发资金确保10nm制程技术发展进度,预期将进一步与三星抗衡,至于Intel方面也确定将在 2016年下半年间进入10nm制程技术量产。
2015-05-28 10:23:16990

10nm芯片工艺设计 闸极成本将会降低

在历经16nm/14nm闸极成本持续增加后,可望在10nm时降低。虽然IBS并未预期工艺技术停止微缩,但预计试错成本(cost penalty)将出现在采用20nm bulk CMOS HKMG和16/14nm FinFET之际。
2015-06-23 10:39:271246

10nm工艺难产!Intel被迫增加14nm工艺平台

10nm工艺难产,Intel不得不临时增加了第三代的14nm工艺平台Kaby Lake,但即便如此进展也不快,Intel甚至将其描述为“2017年平台”(2017 Platform)。
2016-07-11 09:44:081243

Intel、三星、TSMC工艺制程,疯狂进行时!

TSMCFinFET工艺量产上落后于Intel、三星,不过他们在10nm及之后的工艺上很自信,2020年就会量产5nm工艺,还会用上EUV光刻工艺
2016-07-18 10:47:09989

英特尔试产10nm工艺Cannonlake处理器 提升50%?

纵然Intel的14nm10nm技术层面相较台积电、三星有着优势,但仍不能掩盖“挤牙膏”的悲情。 据可靠消息,Intel已经开始了10nm的试产工作,而且将改造一批10nm工艺的制造厂,这笔支出已经在日前发布二季度财报和三季度展望时纳入。
2016-08-01 17:54:121351

大跃进?苹果A11处理器打算直接上10nm工艺

苹果似乎决定在2017年直接使用10nm工艺来制造自己的芯片产品。
2016-08-10 10:28:14917

AMD处理器将跳过10nm直奔7nm竟是因为格罗方德!

2016年各大晶圆厂的主流工艺都是14/16nm FinFET工艺,Intel、TSMC及三星明年还要推10nm工艺,由于Intel也要进军10nm代工了,这三家免不了一场大战。但是另一家代工厂
2016-08-17 16:59:402693

三星开始大规模生产10nm FinFET SoC

今日,三星电子正式宣布已经开始大规模生产基于10nm FinFET技术的SoC,这是业界内首家提供10nm工艺代工厂商。新工艺下的SoC性能可以提供27%,功耗将降低40%。
2016-10-17 14:07:01873

2018年AMD将率先使用GlobalFoundries 7nm工艺

今年底明年初TSMC、三星的10nm工艺就会量产了,Intel的真·10nm处理器也会在明年下半年发布,而GlobalFoundries已经确定跳过10nm节点,他们下一个高性能工艺直接杀向了7nm,也不再选择三星授权,是自己研发的。
2016-11-08 11:57:171073

台积电回应10nm工艺处于正轨 明年1月贡献营收

对于翘首企盼“iPhone 8”和“iPhone 7s”的用户来说,这显然是一个大好的消息,因为报道称新款 iPad 和 iPhone 的芯片,都会采用台积电的 10nm 制造工艺。EETimes
2016-12-29 13:34:43646

10nm工艺继续难产 Intel的Cannonlake或将延期到2018年

Broadwell桌面版昙花一现,10nm工艺处理器Cannonlake延期到了今年下半年,但是现在的情况依然不够乐观,10nm工艺继续难产,Cannonlake处理器很有可能延期到2018年,Intel将推出Kbay Lake Refresh升级版给大家凑合着。
2017-01-02 21:14:081007

Cadence 发布面向 TSMC 3nm 工艺的 112G-ELR SerDes IP 展示

3nm 时代来临了!Cadence 在 2023 年 TSMC 北美技术研讨会期间发布了面向台积电 3nm 工艺(N3E)的 112G 超长距离(112G-ELR)SerDes IP 展示,这是
2023-05-19 16:25:12784

2020年半导体制造工艺技术前瞻

技术预期。从工艺角度来说,如果1.4nm的特征值得以实现,这意味这个节点的典型值只有12个硅原子连接起来的宽度那么“薄”。    ▲英特尔的第一代10nm处理器,Core i3-8121U,只出现在
2020-07-07 11:38:14

10nm、7nm等制程到底是指什么?宏旺半导体和你聊聊

随着半导体产业技术的不断发展,芯片制程工艺已从90nm、65nm、45nm、32nm、22nm、14nm升级到到现在比较主流的10nm、7nm,而最近据媒体报道,半导体的3nm工艺研发制作也启动
2019-12-10 14:38:41

TSMC350nm工艺库是不是不太适合做LC-VCO啊?

想问一下,TSMC350nm工艺库是不是不太适合做LC-VCO啊,库里就一个电容能选的,也没有电感可以选。(因为课程提供的工艺库就只有这个350nm的,想做LC-VCO感觉又不太适合,好像只能做ring-VCO了)请问350nm有RF工艺嘛,或者您有什么其他的工艺推荐?
2021-06-24 08:06:46

mentor graphics HyperLynx

各位请问谁有mentor graphics HyperLynx V7.0或者mentor graphics HyperLynx V8.0,可否发一份给我或者发个资源链接,包括破解文件的,谢谢了!邮箱shuaigogo@yeat.net。
2014-03-15 09:51:16

Sic mesfet工艺技术研究与器件研究

Sic mesfet工艺技术研究与器件研究针对SiC 衬底缺陷密度相对较高的问题,研究了消除或减弱其影响的工艺技术并进行了器件研制。通过优化刻蚀条件获得了粗糙度为2?07 nm的刻蚀表面;牺牲氧化
2009-10-06 09:48:48

【集成电路】10nm技术节点大战

以些许性能优势击败三星,并使其16nm工艺于隔年独拿了Apple的A10处理器(iPhone 7)订单。2017年,三星卷土重来,自主设计了10nm技术工艺的Exynos8895(名称源于希腊单词
2018-06-14 14:25:19

三星宣布:DRAM工艺可达10nm

三星电子近日在国际学会“IEDM 2015”上就20nm工艺的DRAM开发发表了演讲。演讲中称,三星此次试制出了20nm工艺的DRAM,并表示可以“采用同样的方法,达到10nm工艺”。 国际电子器件
2015-12-14 13:45:01

半导体工艺技术的发展趋势

  业界对哪种半导体工艺最适合某一给定应用存在着广泛的争论。虽然某种特殊工艺技术能更好地服务一些应用,但其它工艺技术也有很大的应用空间。像CMOS、BiCMOS、砷化镓(GaAs)、磷化铟(InP
2019-07-05 08:13:58

半导体工艺技术的发展趋势是什么?

业界对哪种半导体工艺最适合某一给定应用存在着广泛的争论。虽然某种特殊工艺技术能更好地服务一些应用,但其它工艺技术也有很大的应用空间。像CMOS、BiCMOS、砷化镓(GaAs)、磷化铟(InP
2019-08-20 08:01:20

小米6抛弃Helio X30 联发科的高端梦还有戏吗?

都是10nm工艺的了,所以联发科随后将X30芯片改为10nm FinFET工艺。但……联发科的努力似乎并没有打动手机厂商。早前传出砍单50%,现在更没几家客户了,年前还有传闻称小米6可能采用联发科处理器
2017-02-16 11:58:05

TSMC90nm工艺库,请问可以分享一下吗?

TSMC90nm工艺库,请问可以分享一下吗?
2021-06-22 06:21:52

芯片工艺从目前的7nm升级到3nm后,到底有多大提升呢?

10nm、7nm等到底是指什么?芯片工艺从目前的7nm升级到3nm后,到底有多大提升呢?
2021-06-18 06:43:04

英特尔10nm难产的深层原因解析 精选资料分享

近日,SIA发了个耸人听闻的新闻,说intel放弃了10nm工艺的研发,当然这肯定是假消息就是了,今天intel也出面辟谣。不过相信很多人也会觉得奇怪,那边TSMC 7...
2021-07-26 08:10:47

Mentor Graphics Board Station

Mentor Graphics Board Station PCB设计复用方法 本文介绍了一种 PCB 设计复用方法,它是基于 Mentor Graphics 的印制电路板设计工具 Board Station 进行的。一个设
2010-03-21 18:33:102750

Mentor GraphicsTSMC携手为设计

参考流程 8.0 版(Reference Flow 8.0)提供高级DFM 性能WILSONVILLE, Ore. and HSINCHU, Taiwan – 2007 年6 月5 日 –明导公司 (Mentor Graphics 纳斯达克代号: MENT) 与台湾半导体制造
2010-06-20 11:14:031112

TSMC持续开发先进工艺技术节点 中国IC设计发展可期

随着芯片微缩,开发先进工艺技术的成本也越来越高。TSMC对外发言人孙又文表示,台积电会继续先进工艺技术节点的投入和开发,今年年底台积电将推出20nm工艺
2012-08-30 14:34:301782

Mentor的CalibreLFD获得TSMC的20nm制造工艺认证

Mentor Graphics公司日前宣布Calibre LFD(光刻友好设计)光刻检查工具已获得TSMC的20nm IC制造工艺认证。 Calibre LFD可对热点进行识别,还可对设计工艺空间是否充足进行检查。光学临近校正法
2012-09-29 10:30:461761

Mentor CalibreLFD获得TSMC的20nm制造工艺认证

电子发烧友网核心提示 :Mentor Graphics公司日前宣布Calibre LFD(光刻友好设计)光刻检查工具已获得TSMC的20nm IC制造工艺认证。 Calibre LFD可对热点进行识别,还可对设计工艺空间是否充足进
2012-10-08 16:00:14915

台积电和Mentor Graphics合作:10nm工艺认证

俄勒冈州威尔逊维尔,2015 年 4 月 6 日—Mentor Graphics公司(纳斯达克代码:MENT )今天宣布,TSMCMentor Graphics已经达到在10nm EDA认证合作的第一个里程碑
2015-04-20 14:18:061658

Mentor Graphics宣布与GLOBALFOUNDRIES合作开发工艺设计套件

Mentor Graphics公司(纳斯达克代码:MENT)今天宣布,正与 GLOBALFOUNDRIES 展开合作,认证 Mentor® RTL 到 GDS 平台(包括 RealTime
2015-11-16 17:16:231078

Mentor Graphics 优化工具和流程助设计师成功应对 三星代工厂10 纳米 FinFET 工艺

俄勒冈州威尔逊维尔,2016 年 3 月 11 日—Mentor Graphics公司(纳斯达克代码:MENT)今日宣布,与三星电子合作,为三星代工厂的10 纳米 FinFET 工艺提供各种
2016-03-11 14:39:211266

Mentor Graphics 提供对 TSMC 集成扇出型封装技术的支持

 WILSONVILLE, Ore., 2016年3月15日— Mentor Graphics公司(纳斯达克代码:MENT)今天发布了一款结合设计、版图布局和验证的解决方案,为TSMC集成扇出型 (InFO) 晶圆级封装技术的设计应用提供支持。
2016-03-15 14:06:02988

TSMC认证Synopsys IC Compiler II适合10-nm FinFET生产

TSMC已经按照Synopsys的IC Compiler™ II布局及 布线解决方案,完成了在其最先进的10-纳米(nm)级FinFET v1.0技术节点上运行Synopsys数字、验收及自定义实施工具的认证
2016-03-23 09:12:011731

Mentor Graphics增强对TSMC 7纳米工艺设计开发和10纳米工艺量产的支援

Mentor Graphics公司(纳斯达克代码:MENT)今天宣布,借由完成 TSMC 10 纳米 FinFET V1.0 认证,进一步增强和优化Calibre® 平台和 Analog
2016-03-24 11:13:19816

ARM携手台积电打造多核10纳米FinFET测试芯片 推动前沿移动计算未来

  2016年5月19日,北京讯——ARM今日发布了首款采用台积电公司(TSMC10纳米FinFET工艺技术的多核 64位 ARM®v8-A 处理器测试芯片。仿真基准检验结果显示,相较于目前常用于多款顶尖智能手机计算芯片的16纳米FinFET+工艺技术,此测试芯片展现更佳运算能力与功耗表现。
2016-05-19 16:41:50662

三星/TSMC/Intel/AMD争先恐后研发7nm

2016年半导体的主流工艺是14/16nm FinFET工艺,主要有Intel、TSMC及三星/GlobalFoundries(格罗方德)三大阵营,下一个节点是10nm,三方都会在明年量产,不过
2016-05-30 11:53:53858

曝高通10nm处理器将由三星代工生产

导语:联发科和华为均已确定下一代处理器将采用10nm工艺制程,高通也紧追其后递交10nm芯片样品给客户,据悉,高通10nm订单均交给三星代工生产。
2016-07-28 19:00:27680

GF确认将直奔7nm工艺 AMD将同步?

2015年以来,英特尔(Intel)、三星、台积电(TSMC)纷纷发力16/14nm FinFET工艺,而当下芯片厂商正争相蓄力2017款10nm半导体制造工艺。随着高通CEO爆料,高通2017
2016-08-19 14:34:10809

骁龙830将采用三星10nm工艺独家制造 S8将搭载

近日,三星电子宣布已经开始采用10nm FinFET工艺量产逻辑芯片,三星也成为了业内首家大规模采用10纳米工艺的厂商。前段时间,韩国《电子时报》报道,高通的下一代旗舰处理器高通骁龙830(或835
2016-10-18 14:06:101131

台积电用10nm生产A11 联发科感到丝丝凉意

有分析师透露消息指苹果的A11处理器基本确定会采用台积电的10nm工艺生产,这意味着台积电的7nm工艺不会早于明年三季度,必然导致10nm工艺产能非常紧张。这对于寄望多款产品采用台积电的10nm工艺来增强芯片竞争力的联发科来说显然是一个非常不好的消息。
2016-12-19 11:10:02498

台积电用10nm生产A11 联发科又该头疼了!

有分析师透露消息指苹果的A11处理器基本确定会采用台积电的10nm工艺生产,这意味着台积电的7nm工艺不会早于明年三季度,必然导致10nm工艺产能非常紧张。这对于寄望多款产品采用台积电的10nm工艺来增强芯片竞争力的联发科来说显然是一个非常不好的消息。
2016-12-20 02:31:11600

传台积电10nm工艺良率不够,联发科或受影响

台积电为了赶进度已在当前试产10nm工艺,不过台媒指其10nm工艺存在较严重的良率问题,这意味着其10nm工艺产能将相当有限,在它优先将该工艺产能供给苹果的情况下,对另一大客户联发科显然不是好消息。
2016-12-23 10:36:28555

台积电10nm工艺存在较严重良率问题 多家厂商或受影响

。 联发科由于一直难在高端市场上获得突破,所以这次狠下心来要采用台积电的最先进工艺10nm生产高端芯片helio X30,并且为了在中端芯片上与高通竞争其中端芯片helio P35也采用10nm工艺
2016-12-23 10:42:11786

台积电10nm低良率或影响A10x芯片的iPad生产

消息称,预计10纳米工艺的低良率将导致明年的A10X芯片的iPad平板电脑可能推迟生产。台积电的10nm芯片主要是由苹果,海思,联发科操刀,虽然有部分是代工。买方要求2017年第一季度就批量生产,但台积电10纳米芯片工艺技术的良率并不是代工生产公司希望看到的,消息人士说
2016-12-24 09:39:46640

台积电反驳分析师:10nm制程正按计划发展

三星和台积电都在积极完善自家的 10nm 制作工艺,但三星似乎已经抢先一步了,不过台积电也没有落后多少。在分析师还在担忧台积电的 10nm 工艺会不会对 iPhone 8 造成影响时,这家公司发话了。
2016-12-27 08:14:38556

台积电否认10nm工艺存在较严重的良率问题

12月29日消息,之前有海外媒体报道,台积电的10nm工艺量产时间本来就较晚,比三星晚了两个月,台积电为了赶进度已在当前试产10nm工艺过程中,出现10nm工艺存在较严重的良率问题,对于联发科来说可谓是雪上加霜的事情。
2016-12-29 10:46:04594

痛击三星、台积电!英特尔10nm处理器今年年内出货

随着摩尔定律走向极限,半导体工艺的推进愈发困难,不过现在台积电和三星的10nm还是量产了。昨天首款10nm工艺芯片高通骁龙835也正式发布。而曾经作为半导体工艺技术龙头的英特尔却动作迟缓,被称为
2017-01-05 16:40:48482

制程工艺差距大 英特尔10nm处理器将超越三星/台积电

特尔的10nm工艺可是要完全领先台积电和三星的10nm工艺
2017-01-09 11:46:04727

骁龙835:联发科、海思的10nm工艺跟自己无法比!

在CES2017上高通是唯一一家展示10nm半导体工艺的厂家,在联发科以及海思即将推出10nm芯片的当下高通犀利指出,联发科、海思的10纳米芯片和高通的骁龙835完全不在同一个水平上,谈不上是竞争对手,凸显了高通对于这款处理器的强大自信。
2017-01-10 09:22:57873

2017年10nm手机“芯”谁能领先?

虽然摩尔定律即将走向终结,但半导体制程工艺推进的脚步却一直没有停下过。台积电和三星作为ARM芯片代工阵营的领军企业,双方你追我赶大打制程战,已将制程工艺推进至10nm,而高通联发科等我们所熟知的IC
2017-01-11 10:49:113863

【图文】ARM、赛灵思首发TSMC 7nm:2017年初流片,2018年将上市

TSMC、三星不仅要争抢10nm工艺,再下一代的7nm工艺更为重要,因为10nm节点被认为是低功耗型过渡工艺,7nm才是真正的高性能工艺,意义更重大。现在ARM宣布已将Artisan物理IP内核授权给赛灵思(Xilinx)公司,制造工艺则是TSMC公司的7nm
2017-01-13 12:57:111581

震撼!Xilinx宣布与TSMC开展7nm工艺合作

确保连续四代全可编程技术及多节点扩展的领先优势四代先进工艺技术和3D IC以及第四代FinFET技术合作 2015年5月28日, 中国北京 - All Programmable 技术和器件的全球领先
2017-02-09 03:48:04198

10nm工艺良品率不足 三星S8可能要抢购了

三星Galaxy S8和苹果的iPhone 8都将进入10nm时代,两者将分别搭载基于10nm工艺的骁龙835和A11芯片,不过现据台媒《电子时报》报道,业内10nm工艺陷入良品率不理想的困境,预计Galaxy S8和iPhone 8将出现供不应求的情况,而由于三星S8发布更早,遭受的影响也更大。
2017-03-03 22:39:21508

小米6领衔的一大波手机将受影响,因为10nm工艺产能低

2017年是10nm工艺主推的一年。联发科X30从16nm工艺转为了更低功耗的10nm工艺,高通也相对应的推出了10nm工艺的骁龙835处理器。由于10nm制造成本高和10nm工艺的产能低,导致了联发科和高通处理器供货将受到影响。
2017-03-09 09:42:311100

三星宣布10nm制程新突破:已完成二代10nm工艺LPP验证工作

 三星今天宣布,继去年10月率先量产10nm工艺移动芯片后,日前已经完成了第二代10nm的质量验证工作,即将量产。下面就随网络通信小编一起来了解一下相关内容吧。下面就随半导体小编一起来了解一下相关内容吧。
2017-04-23 10:19:411546

16nm还有10nm工艺,哪个更利于联发科提高芯片竞争力?

据报道,全球第二大手机芯片企业联发科在近日确定减少对台积电6月至8月约三分之一的订单,在当前的环境下是一个合适的选择,转而采用16nm FinFET工艺10nm工艺可以更好的应对高通等芯片企业的竞争。
2017-05-02 09:59:01721

三星10nm工艺技术已经在Galaxy S8上提供支持

三星10纳米工艺技术公告:全球领先的三星电子先进的半导体元器件技术正式宣布,其第二代10纳米(nm)FinFET工艺技术10LPP(Low Power Plus)已经合格并准备就绪用于批量生产。
2017-05-03 01:00:11580

什么是半导体工艺制程,16nm10nm都代表了什么

随着智能手机的发展,半导体工艺也急速提升,从28nm、16nm10nm到7nm这些半导体代工厂们每天争相发布最新的工艺制程,让很多吃瓜群众一脸懵逼不知道有啥用。
2018-06-10 01:38:0046910

浅析TSMCFinFET工艺技术Mentor解决方案

Technology (12FFC) 和最新版本 7nm FinFET Plus 工艺认证。Nitro-SoCTM 布局和布线系统也通过了认证,可以支持 TSMC 的 12FFC 工艺技术
2017-10-11 11:13:422372

台积电10nm工艺是什么

的主流制造工艺是110纳米工艺,而时至今日,芯片的制造工艺也只剩下了个零头,2017年的最新制造工艺已经提升到了10nm
2017-11-07 15:52:5310814

意法半导体32nm元件库采用Mentor Graphics Eldo仿真器

解决方案的全球主导厂商,采用Mentor Graphics Eldo电路仿真器来进行其首次CMOS 32nm元件库特性分析。在数字和模拟IP特性分析的先进电路仿真技术领域,两家公司是长期的合作伙伴。这一
2017-12-04 11:55:38385

仅次于10nm工艺,台积电引入最先进16nm工艺,预计明年5月投产

台积电南京工厂将会在明年5月提前量产30mm晶圆,据悉,台积电会引进16nm FinFET制造工艺,仅次于10nm FinFET,并在南京设立一个设计服务中心来吸引客户订单。
2017-12-10 09:30:46910

台积电10nm工艺性能及量产情况

在2017年,10nm工艺制程将成为芯片中最主要的技术。联发科HelioX30和高通骁龙835处理器以及传闻中的麒麟970芯片都将使用10nm工艺,而这些芯片不出意外会在明年安卓旗舰中扮演重要角色。
2018-01-08 14:04:5014308

揭秘Intel 10nm工艺,晶体管密度是三星10nm工艺的两倍

作为科技行业著名的“牙膏厂”,英特尔一直走在所有厂商前面。因为它的10nm制程已经跳票三年之久,每当一款新的处理器发布,众人翘首以待10nm的到来,可英特尔还是给用户泼冷水,继续跳票10nm工艺
2018-06-15 15:53:005069

Platform 中的多项工具已通过TSMC最新版5nm FinFET 和 7nm FinFET Plus 工艺认证

TSMC最新版5nm FinFET 和 7nm FinFET Plus 工艺认证Mentor 同时宣布,已更新了 Calibre nmPlatform 工具,可支持TSMC的晶圆堆叠封装 (WoW)技术
2018-05-17 15:19:003391

Synopsys设计平台获得TSMC工艺认证_7-nm FinFET Plus工艺技术

Synopsys设计平台用于高性能、高密度芯片设计 重点: Synopsys设计平台获得TSMC工艺认证,支持高性能7-nm FinFET Plus工艺技术,已成功用于客户的多个设计项目。 针对
2018-05-17 06:59:004461

Synopsys 设计平台获得TSMC最新版且最先进的5nm工艺

Synopsys Synopsys近日宣布, Synopsys 设计平台获得TSMC最新版且最先进的5nm工艺技术认证,可用于客户先期设计。通过与TSMC的早期密切协作,IC CompilerII
2018-06-01 09:35:003784

Mentor 的电路启用英特尔面向 Intel Custom Foundry 客户的 14nm三栅极工艺技术

Mentor Graphics Corp. 与英特尔公司宣布,Mentor 的电路模拟和验收工具已经完全启用英特尔面向 Intel Custom Foundry 客户的 14nm三栅极工艺技术
2018-06-02 12:00:001381

2011 ARM Techcon: Mentor Graphics的产品线介绍

2011 ARM Techcon上,Mentor Graphics总监Mark为我们介绍了Mentor Graphics的产品线。
2018-06-26 10:59:004429

Mentor Graphics与ARM的合作成果汇展

2011ARM Techcon上,Mentor Graphics的商业战略部总监Dennis为我们介绍了近一年来Mentor Graphics与ARM的合作。
2018-06-26 10:40:002693

英特尔官方否定10nm工艺难产一事,早在2017年就开发了10nm芯片?

这几天又曝光了多款英特尔处理器,14nm++工艺的Coffee Lake处理器也进入了Xeon产品线中,只不过英特尔最近因为10nm工艺难产一事备受煎熬,这不仅关乎英特尔处理器升级,更重要是英特尔
2018-07-16 15:28:00844

10nm工艺不断延期,AMD或领先Intel五到七年

英特尔在10nm工艺上不断延期,这个问题不解决,AMD就有希望一直吊打Intel,而且分析师称Intel工艺落后将持续很久,落后5-7年也都有可能。
2018-08-28 15:03:063598

英特尔10nm冰湖处理器核显性能或爆发

尽管英特尔最近遭遇了14nm产能危机,10nm处理器也要延期到明年底推出,桌面版要想上10nm工艺可能要等到2020年了,不过乐观点看的话,英特尔的10nm工艺还是有不少亮点的,第一代的10nm
2018-09-27 14:59:001737

Intel重申10nm工艺进展良好 10nm处理器预计在2019年底的假期季节上市

三星宣布7LPP工艺进入量产,并表示基于EUV光刻技术的7LPP工艺对比现有的10nm FinFET工艺,可以提高20%性能、降低50%功耗、提升40%面积能效。三星电子的代工销售和营销团队执行副
2018-10-19 16:10:552934

新思科技推出基于TSMC 7nm FinFET工艺技术的汽车级IP

基于7nm工艺技术的控制器和PHY IP具有丰富的产品组合,包括LPDDR4X、MIPI CSI-2、D-PHY、PCI Express 4.0以及安全IP。 IP解决方案支持TSMC 7nm工艺技术所需的先进汽车设计规则,满足可靠性和15年汽车运行要求。
2018-10-18 14:57:216541

三星7nm LPP工艺进入量产,Intel重申10nm工艺进展良好

在Samsung Tech Day上,三星宣布7LPP工艺进入量产,并表示基于EUV光刻技术的7LPP工艺对比现有的10nm FinFET工艺,可以提高20%性能、降低50%功耗、提升40%面积能效。
2018-10-22 10:05:403490

浅析英特尔10nm难产的深层原因

近日,SIA发了个耸人听闻的新闻,说intel放弃了10nm工艺的研发,当然这肯定是假消息就是了,今天intel也出面辟谣。不过相信很多人也会觉得奇怪,那边TSMC 7nm都量产了,三星也宣布风险试产了还上了EUV,为什么intel的10nm如此举步维艰?
2018-10-25 09:34:446614

Synopsys推出支持TSMC 7nm工艺技术

新思科技(Synopsys)推出支持TSMC 7nm FinFET工艺技术的汽车级DesignWare Controller和PHY IP。DesignWare LPDDR4x、MIPI CSI-2
2018-11-13 16:20:231517

新思科技数字与定制设计平台通过TSMC 5nm EUV工艺技术认证

关键词:5nm , Compiler , PrimeTime 新思科技(Synopsys)宣布其数字和定制设计平台通过了TSMC最先进的5nm EUV工艺技术认证。该认证是多年广泛合作的结果,旨在
2018-10-27 22:16:01255

英特尔的10nm是如何成为烫手山芋的?

水平,远高于业界2x的平均水平。业界知名专家莫大康也分析说CPU的技术比手机处理器难得多,尺寸定义也不同,当然有诸多的问题。这些均导致10nm工艺制造困难,不断延期。
2018-12-18 10:37:332586

Intel正式宣布第二代10nm工艺的处理器TigerLake 使用全新的CPU内核及GPU内核

在今天的投资者会议上,Intel向外界展示了未来三年的雄心壮志,在制程工艺上Intel还会继续坚持三条路——14nm不放弃、10nm量产、7nm加速。10nm工艺这几年来让Intel吃尽了苦头,不过
2019-05-09 15:19:031801

英特尔迈入10nm工艺时代,十代酷睿移动处理器有哪些改变

从现有英特尔已发布的产品来看,十代酷睿移动版分为两大阵营,分别是10nm工艺制程阵营、14nm工艺制程阵营。我们先从名称上看看10nm工艺制程阵营的产品有何变化。
2019-10-17 15:15:185730

Intel 10nm工艺桌面处理器或在明年初发布

Intel 10nm工艺迟到三年之后,仍然局限在低功耗移动领域,而且还需要14nm工艺的辅助,甚至一度有传闻称,Intel将在桌面上放弃10nm工艺,继续使用14nm坚持两年后将直接转入7nm
2019-11-03 10:03:40673

英特尔在未来几年内将混用14nm10nm两种工艺

14nm工艺产能不足和10nm工艺“难产”,让英特尔在最近的一年时间里依然处于产能不足的情况。
2019-12-03 17:36:483921

Intel今年将推最少9款10nm新品

随着Ice Lake处理器的成功,Intel的10nm工艺总算可以长舒一口气,产能已经没什么问题了。今年的重点是Tiger Lake处理器,这是第二代10nm工艺,CPU及GPU架构也会全面升级。
2020-03-04 15:35:202987

英伟达安培显卡或基于三星10nm工艺

根据外媒WCCFTECH的报道,爆料消息称英伟达的下一代GPU架构将基于三星10nm制程,而不是之前报道的台积电7nm工艺,据称使用的10nm制程更接近于三星提供的8LPP技术,另外新的Tegra芯片也将使用相同的制程。
2020-03-12 16:28:462670

Mentor系列IC设计工具获得台积电最新N5和N6制程技术认证

MentorTSMC 的合作现已扩展到先进封装技术领域, Mentor Calibre™ 平台的 3DSTACK 封装技术将进一步支持 TSMC 的先进封装平台。
2020-05-28 08:48:251011

英特尔推出10nm SF工艺,号称比其他家7nm工艺还要强

关于芯片工艺,Intel前几天还回应称友商的7nm工艺是数字游戏,Intel被大家误会了。不过今年Intel推出了新一代的10nm工艺,命名为10nm SuperFin工艺,简称10nm SF,号称是有史以来节点内工艺性能提升最大的一次,没换代就提升15%性能,比其他家的7nm还要强。
2020-09-27 10:35:063538

Intel的10nm工艺成功解决产能、性能等问题

随着Tiger Lake处理器的量产,Intel的10nm工艺已经解决了产能、性能等问题,现在使用的是10nm SuperFin(以下简称10nm SF)工艺,下半年则会有更新的增强版10nm SF工艺,12代酷睿会首发。
2021-01-14 09:48:283121

Arasan宣布用于台积公司22nm工艺技术的eMMC PHY IP立即可用

日 /美通社/ -- Arasan Chip Systems为台积公司(TSMC)行业领先的22nm工艺技术扩展其IP产品,用于台积公司22nm工艺SoC设计的eMMC PHY IP立即可用。台积公司22nm工艺
2021-01-21 10:18:232385

楷登电子数字和模拟流程获TSMC N3和N4工艺技术认证

)宣布,其数字和定制/模拟流程已获得 TSMC N3 和 N4 工艺技术认证,支持最新的设计规则手册(DRM)。通过持续合作,Cadence 和 TSMC 发布了 TSMC N3 和 N
2021-10-26 15:10:581928

Cadence数字和定制/模拟设计流程获得TSMC最新N3E和N2工艺技术认证

楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布,Cadence 数字和定制/模拟设计流程已通过 TSMC N3E 和 N2 先进工艺的设计规则手册(DRM)认证。两家公司还发
2023-05-09 10:09:23708

已全部加载完成