电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>EDA/IC设计>TSMC和Synopsys携手将定制设计扩展到16纳米节点

TSMC和Synopsys携手将定制设计扩展到16纳米节点

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

Cadence携手TSMC开发3D IC设计基础架构

全球电子设计创新企业Cadence设计系统公司日前宣布其与TSMC在3D IC设计基础架构开发方面的合作。
2012-06-11 09:47:431071

ARM携手Cadence推出首款TSMC16纳米FinFET制程Cortex-A57 64位处理器

ARM (LSE:ARM; Nasdaq: ARMH) 和Cadence (NASDAQ: CDNS) 今天宣布合作细节,揭示其共同开发首款基于台积电16纳米FinFET制程的ARM®Cortex™-A57处理器,实现对16纳米性能和功耗缩小的承诺。
2013-04-07 13:46:441509

14纳米工艺节点会给设计带来哪些挑战?

据国际物理系统研讨会(ISPD)上专家表示:实现14纳米芯片生产可能会比原先想象的更困难;14纳米节点给设计师带来了许多挑战。这些困难和挑战何在?详见本文...
2013-04-08 09:30:513499

反击Altera 赛灵思2014量产16纳米FPGA

面对Altera采用英特尔(Intel)14纳米三门极电晶体(Tri-gate Transistor)制程,并将于2016年量产14纳米FPGA的攻势,赛灵思于日前发动反击,将携手台积电采用16纳米FinFET制程,抢先于2014年推出新一代FPGA。
2013-05-31 09:29:541063

携手TSMC 赛灵思稳猛打制程牌

赛灵思(Xilinx)营收表现持续看涨。赛灵思携手台积电,先将28纳米制程新产品效益极大化,而后将持续提高20纳米16纳米FinFET制程比例,同时以FPGA、SoC及3D IC三大产品线创造5年以上的持续获利表现。赛灵思将可利用与台积电良好的合作关系,于先进制程竞赛中稳扎稳打,获得客户青睐。
2013-10-22 09:08:011144

蜕变盈方微16纳米64位处理器架构新品沟通

、台积电公司签署64位处理器架构、16纳米的战略合作协议,从而成为国内第一家全面布局16纳米、64位处理器架构的应用处理器设计公司。
2014-03-20 11:50:155044

台积电携手新思科技开发7纳米制程设计平台

半导体设计公司新思科技 (Synopsys) 17 日宣布,将与晶圆代工龙头台积电合作推出针对高效能运算 (High Performance Compute) 平台的创新技术,而这些新技术是由新思科技与台积电合作的 7 纳米制程 Galaxy 设计平台的工具所提供。
2016-10-18 10:55:37678

向量扩展将定稿,RISC-V机器学习的崛起

向量扩展将定稿,RISC-V 机器学习的崛起   RISC-V作为一个与x86和Arm相比仍算年轻的架构,自然需要不少扩展模块来完善其指令集架构,尤其是对标x86与Arm的SIMD指令集。然而
2021-09-24 09:53:355395

Synopsys 系列软件破解及安装配置教程

的SECRET DATA和第2步使用的hostid。 Generate之后在本目录下会产生一个license.dat文件。 5、将license.dat中的SSS Feature 拷贝synopsys
2012-08-13 17:09:29

Synopsys与R &S公司战略合作常见问题Synopsys与R &S公司战略合作常见问题

什么时候可以提供从Synopsys算法仿真工具、SPW和System StudioR&S信号生成器的自动配置?答:自动配置将于2011年6月向选定客户提供,2011年10月实现全面供应。4.
2011-05-28 17:38:10

TSMC130_PDK是什么?如何去安装?

TSMC130_PDK是什么?如何去安装?
2021-06-25 07:20:53

synopsys lic

]" SN=RK:1978-0:001224:0 START=1-jan-2006PACKAGE EFA_Synopsys_1 snpslmd 2009.5 F050A0C16
2009-01-21 13:10:00

纳米发电机有哪些类型

的电压,功率密度为10.4μW/cm3。典型结构包括拱形、层叠、之字结构等。柔性摩擦纳米发电机还可用于海洋波浪能回收。中国科学院王中林教授团队构建了16个球形摩擦纳米发电机构成4×4阵列,在低频激励下可
2020-08-25 10:59:35

纳米定位平台跟纳米平台的区别是什么?

纳米定位平台跟纳米平台的区别是什么?
2015-07-19 09:42:13

ADC与DAC工艺节点案例分析

工艺节点中设计,但是 FD-SOI 技术提供最低的功率,同时可以承受辐射效应。与体 CMOS 工艺相比,28 纳米 FD-SOI 芯片的功耗将降低 70%。射频数据转换器需要同时具有高带宽和低功耗,以
2023-02-07 14:11:25

ESP8266 DevKitC V1如何下载FW定制ESP8266板?

,但与可用的 ESP-01 板非常相似,具有 RX、TX、VCC 和接地的引脚分配。 我在论坛和在线资源上发现我需要一个 FTDI USB TTL 转换器,我可以直接将定制板连接到 PC 以下
2023-05-30 07:41:20

HPM6750手册中支持256MB,但是地址线只有13位,是否支持扩展到256MB?

HPM6750手册中支持256MB,但是地址线只有13位. 是否支持扩展到256MB?
2023-05-26 07:24:38

STM32明明是32位的CPU却将定时器设计成16位的

楼主: STM32 明明是32位的CPU,却将定时器设计成16位的!真不明白,明明是32位的CPU,却将定时器设计成16位的,对有些应用32位的定时器可是很重要的悠!希望下一个版本能有所改进。ST...
2021-09-09 09:24:59

X-CUBE-MEMSMIC怎么扩展到6个麦克风

你好, 我需要帮助才能使用X-NUCLEO-CCA02M1和STEVAL-MKI155V3将X-CUBE-MEMSMIC的4麦克风架构扩展到6个麦克风。我附加了修改后的BSP驱动程序.c和.h
2019-05-22 06:06:06

什么是纳米

什么是纳米?为什么制程更小更节能?为何制程工艺的飞跃几乎都是每2年一次?
2021-02-01 07:54:00

利用有机材料将摩尔定律扩展到7nm以下节点

,“因此,我们目前正利用硅纳米线、可重新配置的有机电路与碳纳米管,打造一种可放大具有有机材料的CMOS基础架构,以期超越7nm节点。”  根 据Mansfield表示,这些目标将得以实现
2018-11-12 16:15:26

可以将ESP Basic扩展到ESP32吗?

,这些库肯定会让他建立很多伟大的项目并学习大量关于 MCU 和 IOT 的问题,你打算将 ESP Basic 扩展到 ESP32 吗 ?
2023-05-10 07:55:04

基于SBC-85设计的扩展总线

x 100mm 四槽背板,允许 SBC -85 可扩展到其他卡,例如磁带接口、纸带接口、I/O、内存以及用户组可以设想的任何其他卡。PCB+展示
2022-08-23 06:14:53

如何利用BTA06-600C将BT131的负载能力120W扩展到200W以上?

如何利用BTA06-600C将BT131的负载能力120W扩展到200W以上?如图所示一理疗灯调温定时器(8脚IC型号不详),原设计负载能力只有120瓦,想利用手头的BTA06-600C将该定时器 的负载能力提高250W左右。可否实现?需增加那些原件,如何接线?请求您的帮助,在下先谢了!
2021-01-16 01:51:59

如何将G4 LL示例代码扩展到全双工模式进而与传感器通信呢

我的 Nucleo-G431RB 板一起工作。我想知道如何将它扩展到全双工模式以与传感器通信。在我查看文件 stm32g4xx_ll_spi.h 后,只有 LL_SPI_TransmitData8 和 LL_SPI_ReceiveData8。没有像TransmitReceive这样的功能。
2022-12-21 07:27:36

如何将范围从万FFFF扩展到FRQQUPWM=44100

到扬声器。我的问题是,任务只从0x000x02d3。如何将范围从万FFFF扩展到FRQQUPWM=44100? 以上来自于百度翻译 以下为原文 I plan to play an audio
2019-04-01 12:54:22

如何改变VS 2015导入组件定制器?

= I2C4WWLITKO但是我试着在VS社区版2015上做这个,我不能让定制器在“图形”设计上。有没有人能够将定制器导入VS的版本中,然后成功地在创建者之后更改定制器?TIS之后的一步是在VS上创建自定义组件定制器并将其导入创建者,但这将是另一个故事:提前感谢卡洛斯
2019-10-12 13:22:19

工艺库TSMC0.18um和TSMC0.18umrf有什么区别呢?

工艺库TSMC0.18um和TSMC0.18umrf有什么区别呢?求大神解答
2021-06-23 07:33:12

怎么在List Table中将小数点扩展到最大值

我们有一台N9010A。我们需要在List Table中将小数点扩展到最大值。如何实现这一目标?谢谢!乔C. 以上来自于谷歌翻译 以下为原文We have an N9010A.We need
2018-10-18 17:12:46

新思科技发布业界首款全栈式AI驱动型EDA解决方案Synopsys.ai

摘要:Synopsys.ai可为芯片设计提供AI驱动型解决方案,包含数字、模拟、验证、测试和制造模块。AI引擎可显著提高设计效率和芯片质量,同时降低成本。·英伟达(NVIDIA)、台积公司(TSMC
2023-04-03 16:03:26

有精度可以真正达到纳米纳米位移计吗?

有精度可以真正达到纳米纳米位移计吗?
2015-08-26 10:41:07

纳米纤维的应用前景怎么样?

纳米纤维是指具有纳米尺度的碳纤维,依其结构特性可分为纳米碳管即空心碳纳米纤维和实心碳纳米纤维。
2019-09-20 09:02:43

请问AD5933阻抗测量范围能进行扩展吗?

尊敬的ADI工程师,您好!AD5933的datasheet中提到:AD5933的阻抗测量范围为1kΩ-10MΩ。我想问的是,可以对AD5933的阻抗测量范围进行扩展吗?比如扩展到20MΩ或者30MΩ,有相关的阻抗扩展参考电路吗?谢谢!
2019-03-01 10:42:15

请问我能将系统RAM扩展到外部芯片吗?

在数据表中提到PSOC5支持AMBA,因此很可能将并行RAM连接到芯片。我能将系统RAM扩展到外部芯片,例如8M字节RAM吗?我找不到任何使用这个特性的示例项目,对此没有任何应用说明。谢谢您
2019-04-04 17:00:32

synopsys manual

synopsys manual
2006-03-25 13:22:4024

最新!美芯片设备厂证实美对华芯片打压扩展到14纳米

纳米行业芯事行业资讯
电子发烧友网官方发布于 2022-08-01 16:57:57

用两个条形信号驱动器将10个单元扩展到100个单元

用两个条形信号驱动器将10个单元扩展到100个单元
2008-02-25 22:00:04650

Pixelligent新型纳米晶材料可扩展光刻技术

据Pixelligent Technologies LLC表示,该公司开发出一种据称可提高现有光刻设备分辨率的纳米晶(nanocrystalline)材料,使光学光刻(Optical lithography)可扩展至32纳米以下。
2009-06-07 18:31:181475

SpringSoft Laker定制版图系统支持TSMC跨平

SpringSoft Laker定制版图系统支持TSMC跨平台制程设计套件 IC设计软件全球供货商SpringSoft, Inc.近日宣布,Laker定制版图自动化系统(Custom Layout Automation Syste
2009-07-30 08:11:201005

英飞凌、TSMC扩大合作,携手65纳米嵌入式闪存工艺

英飞凌、TSMC扩大合作,携手65纳米嵌入式闪存工艺 英飞凌科技股份公司与台湾积体电路制造股份有限公司近日共同宣布,双方将在研发和生产领域扩大合作,携手开发
2009-11-10 09:02:381977

高通携手TSMC,继续28纳米工艺上合作

高通携手TSMC,继续28纳米工艺上合作 高通公司(Qualcomm Incorporated)与其专业集成电路制造服务伙伴-TSMC前不久日共同宣布,双方正在28纳米工艺技术进行密切合作。此
2010-01-13 08:59:23910

AMD称其融聚渠道计划将扩展到行业与OEM渠道

AMD称其融聚渠道计划将扩展到行业与OEM渠道 AMD将针对融聚合作伙伴计划推出一系列新政策,诸如更好的在线培训工具、增强的激励计划、全新的联合营销计划等。
2010-03-05 10:11:47490

TSMC推出最新深亚微米互通式EDA格式

TSMC推出最新深亚微米互通式EDA格式 TSMC 7日宣布针对65纳米、40纳米及28纳米工艺推出已统合且可交互操作的多项电子设计自动化(Electronic Design Automatio
2010-04-09 10:36:49672

SpringSoft推出Laker系统支持TSMC定制设计

SpringSoft近日宣布,其Laker系统获TSMC采用并应用于混合信号、内存与I/O设计。Laker系统提供统一的、验证有效的设计实现流程,支持涵盖各种应用的TSMC定制设计需求。 作为
2010-06-10 15:08:481154

赛灵思可能领先于Altera进入28纳米节点

继在40纳米节点上落后于Altera之后,可编程逻辑器件厂商赛灵思有望取得明显成长,可能在28纳米节点再度从Altera手中夺回技术领先地位。
2011-03-21 09:45:04448

Synopsys推出可用于TSMC 28纳米工艺的DesignWare嵌入式存储器和逻辑库

新思科技有限公司(Synopsys, Inc., 纳斯达克股票市场代码:SNPS)日前宣布:即日起推出其用于台湾积体电路制造股份有限公司(TSMC)28纳米高性能(HP)和移动高性能(HPM)工艺技术的
2012-02-22 14:04:27754

SynopsysTSMC 28纳米工艺DesignWare嵌入式存储器和逻辑库

加利福尼亚州山景城,2012年2月22日—全球领先的半导体设计、验证和制造软件及知识产权(IP)供应商新思科技有限公司(Synopsys, Inc.,纳斯达克股票市场代码:SNPS)日前宣布:即日起
2012-02-23 09:05:361181

苹果合作伙伴台积电TSMC加速量产28纳米芯片

台积电TSMC已经准备量产28纳米工艺的ARM处理器了。TSMC在2011年第四季度开始从28纳米芯片获得营收,目前28纳米工艺芯片占有公司总营收的额5%。在今年晚些时候,TSMC将加速28纳米芯片的生
2012-04-18 10:22:37830

TSMC 28纳米Cortex-A9测试芯片超越3GHz主频

TSMC今(3)日宣布,采用28纳米高效能工艺生产的ARM® Cortex-A9双核心处理器测试芯片在常态下的处理速度高达3.1GHz。
2012-05-04 08:54:331910

TSMC持续开发先进工艺技术节点 中国IC设计发展可期

随着芯片微缩,开发先进工艺技术的成本也越来越高。TSMC对外发言人孙又文表示,台积电会继续先进工艺技术节点的投入和开发,今年年底台积电将推出20nm工艺
2012-08-30 14:34:301782

TSMC 20纳米的设计架构选择Cadence解决方案

全球电子设计创新领先企业Cadence设计系统公司日前宣布TSMC已选择Cadence解决方案作为其20纳米的设计架构。Cadence解决方案包括Virtuoso定制/模拟以及Encounter RTL-to-Signoff平台。
2012-10-22 16:48:03909

台积电TSMC扩大与Cadence在Virtuoso定制设计平台的合作

为专注于解决先进节点设计的日益复杂性,全球电子设计创新领先企业Cadence设计系统公司(NASDAQ:CDNS) 今天宣布,台积电已与Cadence在Virtuoso定制和模拟设计平台扩大合作以设计和验证其尖端IP。
2013-07-10 13:07:23842

Cadence工具获台积电7纳米早期设计及10纳米芯片生产认证

2016年3月22日,中国上海——楷登电子(美国 Cadence 公司,NASDAQ: CDNS)今日宣布,用于10纳米 FinFET工艺的数字、定制/模拟和签核工具通过台积电(TSMC)V1.0设计参考手册(DRM)及SPICE认证。
2016-03-22 13:54:541026

TSMC认证Synopsys IC Compiler II适合10-nm FinFET生产

TSMC已经按照Synopsys的IC Compiler™ II布局及 布线解决方案,完成了在其最先进的10-纳米(nm)级FinFET v1.0技术节点上运行Synopsys数字、验收及自定义实施工具的认证。
2016-03-23 09:12:011731

Mentor Graphics增强对TSMC 7纳米工艺设计开发和10纳米工艺量产的支援

Mentor Graphics公司(纳斯达克代码:MENT)今天宣布,借由完成 TSMC 10 纳米 FinFET V1.0 认证,进一步增强和优化Calibre® 平台和 Analog
2016-03-24 11:13:19816

ARM携手台积电打造多核10纳米FinFET测试芯片 推动前沿移动计算未来

  2016年5月19日,北京讯——ARM今日发布了首款采用台积电公司(TSMC)10纳米FinFET工艺技术的多核 64位 ARM®v8-A 处理器测试芯片。仿真基准检验结果显示,相较于目前常用于多款顶尖智能手机计算芯片的16纳米FinFET+工艺技术,此测试芯片展现更佳运算能力与功耗表现。
2016-05-19 16:41:50662

三星/TSMC/Intel/AMD争先恐后研发7nm

2016年半导体的主流工艺是14/16nm FinFET工艺,主要有Intel、TSMC及三星/GlobalFoundries(格罗方德)三大阵营,下一个节点是10nm,三方都会在明年量产,不过
2016-05-30 11:53:53858

使用DesignWare逻辑库和嵌入式存储器以获得16FFC SOC最佳PPA

作者:Ken Brock,Synopsys产品市场营销经理 TSMC最近宣布其第四代主要16纳米工艺,即16FFC(16纳米FinFET紧凑版), 进入批量生产。该工艺提供了一种简单的从28纳米工艺
2017-02-07 18:26:11608

台积电加速10纳米制程产量 有望超过16纳米

台积电于美国举办年度技术论坛时表示,预估今年10纳米制程产量将达40万片12寸晶圆,2019年之后,10纳米及7纳米的晶圆产量合计将达到120万片,其中,10纳米晶圆今年产能即可望超过16纳米
2017-03-22 01:00:38947

Cadence发布7纳米工艺Virtuoso先进工艺节点扩展平台

2017年4月18日,中国上海 – 楷登电子(美国Cadence公司,NASDAQ: CDNS)今日正式发布针对7nm工艺的全新Virtuoso® 先进工艺节点平台。通过与采用7nm FinFET
2017-04-18 11:09:491165

台积电宣布其7纳米制程进入量产 并透露了5纳米节点的首个时间表

持续同时朝多面向快速进展的晶圆代工大厂台积电(TSMC),于美国硅谷举行的年度技术研讨会上宣布其7纳米制程进入量产,并将有一个采用极紫外光微影(EUV)的版本于明年初量产;此物该公司也透露了5纳米节点的首个时间表,以及数种新的封装技术选项。
2018-05-11 17:37:003291

Synopsys设计平台获得TSMC工艺认证_7-nm FinFET Plus工艺技术

Synopsys设计平台用于高性能、高密度芯片设计 重点: Synopsys设计平台获得TSMC工艺认证,支持高性能7-nm FinFET Plus工艺技术,已成功用于客户的多个设计项目。 针对
2018-05-17 06:59:004461

Synopsys 设计平台获得TSMC最新版且最先进的5nm工艺

Synopsys Synopsys近日宣布, Synopsys 设计平台获得TSMC最新版且最先进的5nm工艺技术认证,可用于客户先期设计。通过与TSMC的早期密切协作,IC CompilerII
2018-06-01 09:35:003784

苹果将iPhone 的保修范围扩展到全球

苹果将 iPhone 的保修范围扩展到全球,这无论对于国行还是海外版 iPhone 的用户而言,显然都是件大好事。加上在概述中,苹果对国行版 iPhone 的“海外服务”标注为“有”,就更加“佐证”了新闻“iPhone 可全球联保”的说法。
2018-06-13 11:24:343573

Synopsys推出支持TSMC 7nm工艺技术

新思科技(Synopsys)推出支持TSMC 7nm FinFET工艺技术的汽车级DesignWare Controller和PHY IP。DesignWare LPDDR4x、MIPI CSI-2
2018-11-13 16:20:231517

新思科技数字与定制设计平台通过TSMC 5nm EUV工艺技术认证

关键词:5nm , Compiler , PrimeTime 新思科技(Synopsys)宣布其数字和定制设计平台通过了TSMC最先进的5nm EUV工艺技术认证。该认证是多年广泛合作的结果,旨在
2018-10-27 22:16:01255

Credo于TSMC 2018南京OIP研讨会首次公开展示7纳米工艺结点112G SerDes

Credo 在2016年展示了其独特的28纳米工艺节点下的混合讯号112G PAM4 SerDes技术来实现低功耗100G光模块,并且快速地跃进至16纳米工艺结点来提供创新且互补的112G连接
2018-10-30 11:11:125204

Sematech在157纳米光刻技术的发展

德克萨斯州奥斯汀 - 国际Sematech公司的研究经理表示,他们对将光刻技术扩展到生产的可能性更加乐观一组专家回顾了下一代157纳米曝光工具关键材料的最新发展后,低于0.10微米技术节点的集成电路。
2019-08-13 10:53:593443

诺基亚携手Marvell开发新一代定制SoC和处理器

诺基亚近日宣布携手Marvell开发领先的5G multi-RAT(无线接入技术)创新芯片,包括多代定制芯片和处理器,以进一步扩展适用于5G解决方案的诺基亚ReefShark芯片组系列。
2020-03-05 16:08:421689

Mentor系列IC设计工具获得台积电最新N5和N6制程技术认证

Mentor 与 TSMC 的合作现已扩展到先进封装技术领域, Mentor Calibre™ 平台的 3DSTACK 封装技术将进一步支持 TSMC 的先进封装平台。
2020-05-28 08:48:251011

讲解台积电的7纳米节点技术设计规则细节

台积电发现,去年上半年,该公司7纳米节点的需求环比略有下降,约为1%。收入的大部分继续来自他们非常成熟的16纳米节点。然而,晶圆出货量略有增加,这是对第二季度的普遍预期。与长期趋势相比,这实际上是3年来第二季度的最低成交量。尽管如此,他们相信N7将达到全年收入的25%。
2020-07-29 10:58:404763

Marvell携手台积电打造业界最先进的5纳米技术数据基础设施产品组合

两家公司之前卓有成效的合作现已扩展到 5 纳米技术之外,旨在为 Marvell 的客户规划可靠和长期的路线图。
2020-09-18 14:14:15374

新思联合TSMC实现新一代芯片设计

(功耗、性能和面积)优势,同时加快产品上市时间 ● 新思科技进一步强化关键产品,以支持TSMC N3制造的进阶要求 新思科技(Synopsys)近日宣布,其数字和定制设计平台已获得TSMC 3nm制造技术验证。此次验证基于TSMC的最新设计参考手册(DRM)和工艺设计工具包(
2020-10-14 10:47:571764

Snapdragon的875将采用5纳米节点的制造

一个新的报告来自中国声称,Snapdragon的875将采用5纳米节点的制造。值得注意的是,这不是新信息,因为它早些时候已经被揭示出来。
2020-11-05 14:45:191064

是德科技与新思科技定制化编译器设计环境完成整合

2022年6月23日,是德科技(Keysight Technologies,Inc.)日前宣布Keysight PathWave RFPro 与新思科技(Synopsys定制化编译器设计环境已完成整合,以便支持台积电(TSMC)最新的 6 纳米 RF(N6RF)设计参考流程。
2022-06-24 10:41:24653

高级流程节点使仿真必不可少

  就晶体管数量和复杂性而言,先进工艺节点的设计尺寸正在迅速增加。因此,Veloce Strato 仿真平台可扩展到 150 亿门。
2022-06-29 15:23:24437

台积电1nm,如何实现?

在 VLSI 2021 上,imec 推出了 forksheet 器件架构,以将纳米片晶体管系列的可扩展扩展到 1nm 甚至更领先的逻辑节点
2022-11-01 10:50:423482

苹果计划在2024年iPhone 16上使用第一代3纳米芯片

的一份来自摩根士丹利的报告,在其中谈到了台积电的3纳米扩展计划。报告表示,台积电这家芯片晶圆制造商,计划将把其尖端节点的生产能力从每月8万块晶圆降至6万块。其中大部分将被苹果公司用于2024年的iPhone芯片。 这是因为台积电为苹果这样的客户准备了几种不同种类
2022-11-14 10:42:15933

焦点芯闻丨苹果计划在2024年iPhone 16上使用第一代3纳米芯片

16机型可能在2024年使用第一代3纳米芯片。 该消息来源于《经济日报》公布的一份来自摩根士丹利的报告,在其中谈到了台积电的3纳米扩展计划。报告表示,台积电这家芯片晶圆制造商,计划将把其尖端节点的生产能力从每月8万块晶圆降至6万块。其中大部分将被苹果公司用于2024年的iPhone芯片
2022-11-14 19:30:151593

将5G安全地扩展到战场空间

  如果保持不变,5G服务必须限制在战场的后边缘。然而,可以将5G带到战场中心的另一种选择是增强或扩展核心5G网络以获得运营优势,从而可以在不牺牲商业平台优势的情况下进一步扩展到战场空间。
2022-11-15 15:16:081370

IP_数据表(I-4):16Gpbs SerDes for TSMC 28nm HPC

IP_数据表(I-4):16Gpbs SerDes for TSMC 28nm HPC
2023-03-16 19:31:340

IP_数据表(Z-3):GPIO for TSMC 16nm FF+

IP_数据表(Z-3):GPIO for TSMC 16nm FF+
2023-03-16 19:34:181

IP_数据表(I-3):16Gpbs SerDes for TSMC 28nm HPC+

IP_数据表(I-3):16Gpbs SerDes for TSMC 28nm HPC+
2023-03-16 19:35:091

GTC23 | NVIDIA、ASML、TSMCSynopsys 为新一代芯片制造奠定基础

推出一项将加速计算引入计算光刻技术领域的突破性成果。 在当前生产工艺接近物理极限的情况下,这项突破使 ASML、TSMCSynopsys 等半导体行业领导者能够加快新一代芯片的设计和制造。 全球
2023-03-23 06:45:02310

Cadence数字和定制/模拟设计流程获得TSMC最新N3E和N2工艺技术认证

楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布,Cadence 数字和定制/模拟设计流程已通过 TSMC N3E 和 N2 先进工艺的设计规则手册(DRM)认证。两家公司还发
2023-05-09 10:09:23708

IP_数据表(I-4):16Gpbs SerDes for TSMC 28nm HPC

IP_数据表(I-4):16Gpbs SerDes for TSMC 28nm HPC
2023-07-06 20:17:540

IP_数据表(Z-3):GPIO for TSMC 16nm FF+

IP_数据表(Z-3):GPIO for TSMC 16nm FF+
2023-07-06 20:20:310

IP_数据表(I-3):16Gpbs SerDes for TSMC 28nm HPC+

IP_数据表(I-3):16Gpbs SerDes for TSMC 28nm HPC+
2023-07-06 20:21:220

Cadence 定制/模拟设计迁移流程加速 TSMC 先进制程技术的采用

流程,能兼容所有的 TSMC(台积电)先进节点,包括最新的 N3E 和 N2 工艺技术。 这款生成式设计迁移流程由 Cadence 和 TSMC 共同开发,旨在实现定制和模拟 IC 设计在 TSMC
2023-09-27 10:10:04301

Synopsys宣布扩展ARC处理器 IP产品组合

Synopsys, Inc.11月8日宣布扩展其 ARC处理器 IP 产品组合,纳入新的RISC-V ARC-V 处理器 IP,使客户能够从各种灵活、可扩展的处理器选项中进行选择
2023-11-09 12:41:33468

新思科技携手三星面向其SF2工艺开发优化数字和定制设计流程

Synopsys.ai EDA解决方案加持的优化数字和定制设计流程加速了针对三星先进节点设计的开发。
2023-12-07 09:51:19287

是德科技与英特尔携手完成负载均衡单节点2100万连接新建性能测试

2024年1月18日,是德科技(Keysight Technologies,Inc.)与英特尔携手完成负载均衡产品单节点2100万连接新建性能测试。英特尔提供软硬件结合优化的四层负载均衡方案
2024-01-18 14:11:52197

TSMCSynopsys将在生产中使用NVIDIA计算光刻平台

NVIDIA 于今日宣布,为加快下一代先进半导体芯片的制造速度并克服物理限制,TSMCSynopsys 将在生产中使用 NVIDIA 计算光刻平台。
2024-03-20 09:52:00100

已全部加载完成