电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>EDA/IC设计>Cadence设计工具通过台积电16nm FinFET制程认证

Cadence设计工具通过台积电16nm FinFET制程认证

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

16nm/14nm FinFET技术:开创电子业界全新纪元

16nm/14nm FinFET技术将是一个Niche技术,或者成为IC设计的主流?历史证明,每当创新出现,人们就会勾勒如何加以利用以实现新的、而且往往是意想不到的价值。FinFET技术将开启电脑、通信和所有类型消费电子产品的大跃进时代。
2013-03-28 09:26:472161

ARM携手台积电成功流片16nm ARM Cortex-A57处理器

微处理器设计公司ARM与台积电今天共同宣布,首个采用台积电下下代16nm工艺制程FinFET技术生产的ARM Cortex-A57处理器已成功流片。Cortex-A57处理器为ARM旗下性能最高的处理器。
2013-04-03 09:05:051157

ARM携手Cadence推出首款TSMC16纳米FinFET制程Cortex-A57 64位处理器

ARM (LSE:ARM; Nasdaq: ARMH) 和Cadence (NASDAQ: CDNS) 今天宣布合作细节,揭示其共同开发首款基于台积电16纳米FinFET制程的ARM®Cortex™-A57处理器,实现对16纳米性能和功耗缩小的承诺。
2013-04-07 13:46:441509

台积电认可Cadence Tempus时序签收工具用于20纳米设计

意味着通过台积电严格的EDA工具验证过的Cadence Tempus 时序签收解决方案能够确保客户实现先进制程节点的最高精确度标准。
2013-05-24 11:31:171345

联电完成14nm制程FinFET结构晶体管芯片流片

在Synopsys 的协助下,台湾联电(UMC)首款基于14nm制程FinFET晶体管技术的测试用芯片日前完成了流片。联电公司早前曾宣布明年下半年有意启动14nm 制程FinFET产品的制造,而这
2013-06-28 09:57:581023

竞逐FinFET设计商机 EDA厂抢推16/14纳米新工具

EDA 业者正大举在FinFET市场攻城掠地。随着台积电、联电和英特尔(Intel)等半导体制造大厂积极投入16/14奈米FinFET制程研发,EDA工具开发商也亦步亦趋,并争相发布相应解决方案,以协助IC设计商克服电晶体结构改变所带来的新挑战,卡位先进制程市场。
2013-08-26 09:34:041899

苹果A8处理器最新消息:采用TSMC 20nm制程工艺

有消息称,这款苹果A8芯片将会采用台积电的20nm制程工艺。出于货源稳定性的考虑,不会采用年底更为超前的16nm。尽管16nm的芯片会在明年正式量产,但是产能和技术上仍不慎稳定。
2013-12-16 08:56:431870

狂砸100亿美元 台积电引爆FinFET市场战局

台积电昨日宣布其将在未来一年内调用至少100亿美元的经费来增加在16nm FinFET芯片的工业生产。旨在进一步提升其在FinFET技术上的领先地位。
2014-10-17 16:33:39965

16纳米来了!台积电试产16nm FinFET Plus

昨日台积电官方宣布,16nm FinFET Plus(简称16FF+)工艺已经开始风险性试产。16FF+是标准的16nm FinFET的增强版本,同样有立体晶体管技术在内,号称可比20nm SoC平面工艺性能提升最多40%,或者同频功耗降低最多50%。
2014-11-14 09:31:582127

UltraScale+“羊”帆起航 赛灵思成16nm领头羊

在率先量产20nm UltraScale系列产品之后,全球领先的All Programmable解决方案提供商赛灵思最近又推出了全新的16nm UltraScale+系列FPGA、3D IC和MPSoC产品。再次实现了遥遥领先一代的优势。
2015-03-04 09:47:261887

电子芯闻早报:三星、台积电、Intel 决战10nm制程

在今年宣布以14nm制程制作Galaxy S6系列机种使用处理器Exynos 7420之后,三星也计划将在2016年年底进入10nm制程技术量产新款处理器产品。而另一方面,预期今年第三季进入16nm
2015-05-28 10:23:16990

台积电16nm制程将量产 新款Kirin950处理器打头阵

随着台积电揭晓7月份营收表现,其中同时透露旗下16nm FinFET+制程技术将如期于今年第三季内投入量产,预期将用于代工量产华为旗下海思半导体新款Kirin 950处理器,同时也将协助量产苹果A9处理器。
2015-08-12 10:45:111438

华为麒麟650处理器全揭秘 16nm对杀联发科

华为日前正式发布麒麟家族新成员麒麟650芯片。麒麟650采用了领先的16nm FinFET plus工艺,是全球第三款采用此尖端工艺的手机芯片,也是第二款16nm FinFET plus工艺量产
2016-04-30 00:22:0031747

16nm工艺的麒麟650也不是吃干饭的料!

在目前市面上常见的SoC中,主要以28nm、20nm16nm和14nm这4种制程为主,每种制程根据生产工艺不同还衍生出很多版本,比如28nm工艺,先后就有LP、HPM、HPC、HPC+四种版本。
2016-05-18 10:52:364402

大陆IC设计厂加快导入14/16nm纳米先进制程

导读:目前,国家权力扶植半导体生产链发展,在大基金补助下,国内IC设计厂商建厂迅速,纷纷投入先进14/16nm制程生产。据悉上游半导体生产链厂商中芯、武汉新芯、厦门联电、南京台积电、大连英特尔多家
2016-08-05 15:01:461245

华为第四季推10nm麒麟970之外还有一款12nm中端芯片

台积电优化16nm制程推出的12nm鳍式场效晶体管(FinFET制程,第四季全面进入量产,包括NVIDIA新一代Volta图形芯片及Xavier超级计算机芯片、华为旗下海思Miami手机芯片、联发科Helio P30手机芯片等大单全数到位。
2017-08-14 09:00:311902

中芯国际预计第一季度收入是全年低点,14nm制程将量产

中芯国际第1季收入预计为全年相对低点,比去年第4季下降16%~18%。第一代FinFET 14nm制程已进入客户验证阶段,产品可靠度与良率进一步提升,同时12nm制程开发也取得突破。
2019-02-17 20:31:531241

FinFET(鳍型MOSFET)简介

增强;同时也极大地减少了漏电流的产生,这样就可以和以前一样继续进一步减小Gate宽度。目前三星和在其14/16nm这一代工艺都开始采用FinFET技术。图6:Intel(左:22nm)和Samsung(右:14nm)Fin鳍型结构注:图3、图6的图片来于网络。
2017-01-06 14:46:20

0.18工艺电源电压分别是多少?

0.18工艺电源电压分别是多少?是1.8v跟3.3v吗?
2021-06-25 06:32:37

5nm架构设计试产

宣布5nm基本完工开始试产:面积缩小45%、性能提升15%.pdf(105.52 KB)
2019-04-24 06:00:42

或将“独吞”A7大单

有机会“独吞”A7代工订单。  作为全球规模最大的专业集成电路制造公司,其技术优势的领先,在业界可谓屈指可数。积极开发20纳米制程,花旗环球证券指出,在技术领先MAX3232EUE+T优势下,未来1
2012-09-27 16:48:11

电量产安徽iPhone 8用大时代10nmA11芯片可靠吗

正在大量生产用于苹果iPhone8手机的10nm A11处理器。消息称,苹果可能在下个月初正式发布iPhone 8,但是具体发货日期仍然不确定。  据悉,已经采用10nm FinFET
2017-08-17 11:05:18

MLCC龙头涨价;车厂砍单芯片;28nm设备订单全部取消!

需求变化,28nm设备订单全部取消! 对于这一消息,方面表示,相关制程技术与时间表依客户需求及市场动向而定,目前正处法说会前缄默期,不便多做评论,将于法说会说明。 目前28nm工艺代工市场
2023-05-10 10:54:09

Xilinx Ultrascale 16nm FPGA/SoC电源解决方案

描述PMP10555 参考设计提供为移动无线基站应用中的 Xilinx® Ultrascale® 16nm 系列 FPGA/SoC 供电所需的所有电源轨。此设计对内核及两个多输出降压型稳压器 IC
2018-11-19 14:58:25

Xilinx(r) Ultrascale(r) 16nm FPGA/SoC 电源解决方案

`描述PMP10555 参考设计提供为移动无线基站应用中的 Xilinx® Ultrascale® 16nm 系列 FPGA/SoC 供电所需的所有电源轨。此设计对内核及两个多输出降压型稳压器 IC
2015-05-11 10:46:35

[转]16nm FinFET Plus及InFO WLP 通吃英特尔苹果

转自http://www.eet-china.com/ART_8800697889_480201_NT_08124b24.HTM16nm FinFET Plus及InFO WLP 通吃英特尔
2014-05-07 15:30:16

【AD新闻】百万片订单大洗牌!或成高通新一代PMIC芯片最大供应商

芯片PMIC 5即将问世,由于改为BCD制程凭借先进制程技术优势,可望拿下高通新一代PMIC 5订单约70~80%数量,并牵动高通电源管理芯片代工厂大洗牌。 业界推估高通各种用途电源管理芯片的年
2017-09-22 11:11:12

【AD新闻】竞争激烈!中芯抢高通芯片订单

了高通的订单。之后,中芯国际凭借极具竞争力的价格从Globalfoundries手中夺走了订单,成为高通电源管理芯片的主要合作伙伴。我们知道,在高通的帮助下,中芯国际实现了28nm工艺量产,而且还加快14nm硅片的量产。由于产能、价格及新芯片技术的原因,此次高通将电源管理芯片交给了生产。
2017-09-27 09:13:24

【集成电路】10nm技术节点大战

。这场战役两家大厂互有消长,首先是三星的14nm16nm抢先半年投入量产,因两家大厂的鳍式晶体管(FinFET)设计也确有雷同之处,后续又衍生了竞业禁止官司诉讼等故事,无论如何,最终还是
2018-06-14 14:25:19

从7nm到5nm,半导体制程 精选资料分享

的宽度,也被称为栅长。栅长越短,则可以在相同尺寸的硅片上集成更多的晶体管。目前,业内最重要的代工企业、三星和GF(格罗方德),在半导体工艺的发展上越来越迅猛,10nm制程才刚刚应用一年半,7n...
2021-07-29 07:19:33

全球进入5nm时代

,第三季度以最快速度提升产能,下半年5nm产能提升速度及幅度有望创下该公司产能新纪录。到了5nm阶段,的投资额进一步攀升,16nm制程下,1万片产能投资约15亿美元,7nm制程下,1万片产能投资估计30
2020-03-09 10:13:54

小米6抛弃Helio X30 联发科的高端梦还有戏吗?

芯片除了核心数超过高通之外,在CPU性能、GPU性能、拍照、网络等方面皆不如骁龙旗舰,而且制程工艺往往落后一代。因此,Helio X30原本规划使用TSMC的16nm FinFET工艺,但同期的竞品
2017-02-16 11:58:05

日进3.3亿,年狂挣千亿的,为何还涨价?

本帖最后由 华强芯城 于 2023-3-17 09:16 编辑 晶圆代工巨头——近日传出涨价20%的消息,业内轰动。这是继2020年底上涨超10%之后,一年之内,又一次的大幅上涨
2021-09-02 09:44:44

电子行业人士带你入行之纳米制程小白篇

这些年,英特尔、三星、制程上的恩恩怨怨,堪比武侠小说中恩怨情仇。这些大厂的争斗均是围绕14纳米和16纳米,那么问题来了,这个14纳米和16纳米有什么好争的?下面芯易网就来简单做一下介绍。纳米
2016-12-16 18:20:11

电子行业人士带你入行之纳米制程小白篇

这些年,英特尔、三星、制程上的恩恩怨怨,堪比武侠小说中恩怨情仇。这些大厂的争斗均是围绕14纳米和16纳米,那么问题来了,这个14纳米和16纳米有什么好争的?下面芯易网就来简单做一下介绍。纳米
2016-06-29 14:49:15

米尔FZ3深度学习计算卡免费试用

UltraScale+ MPSoC 平台,集成了四核 Cortex™-A53 处理器,双核 Cortex™-R5 实时处理单元以及 Mali-400 MP2 图形处理单元及 16nm FinFET+ 可编程逻辑了解更多>>
2020-10-09 10:21:45

苹果芯片供应商名单曝光后 三星哭了!

,所以只能以旧工艺(16nm制程)制造A10处理器。除此之外,还将独家代工重大变化的2017年版iPhone采用的A11处理器。据称A11芯片将采用10纳米FinFET工艺,最早有望于明年二季度
2016-07-21 17:07:54

论工艺制程,Intel VS谁会赢?

1662.53亿元人民币),同比增长10.6%,税后净利3065.74亿元新台币(约为604.26亿元人民币),同比增长16.2%,成绩可喜。对于先进制程透露,7nm、10nm研发顺利进行,今年Q1
2016-01-25 09:38:11

面向移动通信无线基站的Xilinx(r) Ultrascale(r) 16nm FPGA/SoC电源解决方案

描述PMP10555参考设计提供为移动无线基站移动无线应用中的 Xilinx® Ultrascale® 16nm 系列 FPGA/SoC 供电所需的所有电源轨。此设计对内核及两个多输出降压型稳压器
2022-09-28 06:56:35

要自研光刻机#芯片 #

行业芯事经验分享
中国芯动向发布于 2022-06-07 16:46:41

传3nm工艺延期 回应#芯片制造

工艺芯片制造行业资讯
硬声科技热点发布于 2022-10-20 16:45:35

A16芯片无缘4nm!将继续使用5nm工艺 #硬声创作季

工艺40nm5nm时事热点
jf_49750429发布于 2022-11-03 01:04:41

先进制程冲第一 台积电16/10nm抢先开火

台积电先进制程布局火力全开。除20奈米(nm)已先行导入试产外,台积电2013~2015年还将进一步采用鳍式场效应晶体(FinFET)技术,打造16、10奈米制程;同时亦可望推出18寸(450mm)晶圆
2012-09-07 09:05:21766

台积电16纳米FinFET制程明年到来

台积电在10月16日的年度大会中,宣布制订了20nm平面、16nmFinFET和2.5D发展蓝图。台积电也将使用ARM的第一款64位元处理器V8来测试16nmFinFET制程
2012-10-23 09:18:54810

运用FinFET技术 14nm设计开跑

虽然开发先进微缩制程的成本与技术难度愈来愈高,但站在半导体制程前端的大厂们仍继续在这条道路上努力着。Cadence日前宣布,配备运用IBM的FinFET制程技术而设计实现之ARM Cortex-M0处理
2012-11-17 10:29:36844

全球晶圆厂加紧FinFET布局 制胜14/16nm市场利器

全球晶圆代工业者正加紧展开FinFET布局。继格罗方德宣布将于2013年量产14奈米FinFET后,台湾晶圆双雄台积电与联电亦陆续公布FinFET制程发展蓝图与量产时程表,希冀藉此一新技术,提供
2012-12-20 08:43:111508

20/16nm将成主流 先进工艺怎适应?

017年20nm16nm及以下的先进工艺将成为主流,这对我们设计业、制造业是一个很大的启示:我们怎么样适应全球先进工艺。
2013-12-16 09:40:211925

Cadence与GLOBALFOUNDRIES宣布最新合作成果

益华电脑宣布,晶圆代工业者GLOBALFOUNDRIES已经认证Cadence实体验证系统适用于65nm至14nm FinFET制程技术的客制/类比、数位与混合讯号设计实体signoff。同时
2014-03-25 09:33:50862

Cadence宣布推出基于台积电16纳米FinFET制程DDR4 PHY IP

全球电子设计创新领先企业Cadence设计系统公司(NASDAQ: CDNS)今天宣布,立即推出基于台积电16纳米FinFET制程的DDR4 PHY IP(知识产权)。
2014-05-21 09:44:541769

台积电采用CadenceFinFET单元库特性分析解决方案

全球知名电子设计创新领先公司Cadence设计系统公司 (NASDAQ: CDNS),今日宣布台积电采用了Cadence®16纳米FinFET单元库特性分析解决方案。
2014-10-08 19:03:221594

Cadence数字与定制/模拟工具获台积电认证 合作开发FinFET新工艺

美国加州圣何塞(2014年9月26日)-全球知名电子设计创新领先公司Cadence设计系统公司(NASDAQ: CDNS)今日宣布,其数字和定制/模拟分析工具通过台积电公司16FF+制程的V0.9
2014-10-08 19:10:45663

Cadence为台积电16纳米FinFET+制程推出IP组合

美国加州圣何塞(2014年9月26日)-全球知名的电子设计创新领导者Cadence设计系统公司(NASDAQ: CDNS)今日宣布为台积电16纳米FinFET+ 制程推出一系列IP组合。
2014-10-08 19:19:22919

Mentor Graphics获得TSMC 10nm FinFET工艺技术认证

Mentor Graphics公司(纳斯达克代码:MENT)今天宣布,Calibre® nmPlatform 已通过TSMC 10nm FinFET V0.9 工艺认证。此外,Mentor
2015-09-21 15:37:101300

Cadence工具获台积电7纳米早期设计及10纳米芯片生产认证

2016年3月22日,中国上海——楷登电子(美国 Cadence 公司,NASDAQ: CDNS)今日宣布,用于10纳米 FinFET工艺的数字、定制/模拟和签核工具通过台积电(TSMC)V1.0设计参考手册(DRM)及SPICE认证
2016-03-22 13:54:541026

TSMC认证Synopsys IC Compiler II适合10-nm FinFET生产

TSMC已经按照Synopsys的IC Compiler™ II布局及 布线解决方案,完成了在其最先进的10-纳米(nm)级FinFET v1.0技术节点上运行Synopsys数字、验收及自定义实施工具认证
2016-03-23 09:12:011731

赛灵思16nm FinFET工艺Zynq UltraScale MPSoC惊艳亮相深圳

ARM2015年度技术论坛深圳站,赛灵思16nm FinFET工艺Zynq UltraScale MPSoC一亮相就吸引了很多人。这款强大的异构处理器会带来工业安防汽车等领域的颠覆。 Zynq
2017-02-08 19:26:41252

关于16nm UltraScale+ 器件的工具与文档分析和介绍

支持主流市场现在即可采用或者验证新一代器件,系统级性能功耗比将比28nm器件高2-5倍 赛灵思公司(Xilinx, Inc. (NASDAQ:XLNX))今天宣布支持16nm UltraScale+
2019-10-06 17:48:00660

牛炸天!全球第一款异构可编程多核16nm FF+工艺处理器投片

作者 张国斌 今天,全球第一款采用16nm FinFET+工艺的异构多核处理器投片了!这就是赛灵思公司采用台积电16nm 16FF+ (FinFET plus)工艺的Zynq
2017-02-09 03:15:11379

Xilinx 16nm UltraScale+器件实现2至5倍的性能功耗比优势

作者:Mike Santarini 赛灵思公司赛灵思杂志发行人 mike.santarini@xilinx.com 台积公司的16nm FinFET工艺与赛灵思最新UltraRAM
2017-02-09 06:28:121249

关于Xilinx 16nm FinFET FPGA的四大亮点的分析和应用

2015年,基于FinFET 工艺的IC产品将大量面市,除了英特尔的X86处理器和一些ASIC处理器外,FPGA也正式步入FinFET 3D晶体管时代,2月23日,羊年大年初五,赛灵思率先发布基于16nm FinFET 3D晶体管的FPGA新品,再次创下业界第一,开启了FinFET FPGA的新时代。
2019-10-06 11:57:003095

英特尔代工厂认证10nm PowerVR GT7200透露了什么不寻常信息?

Cadence的一则新闻则透露出了不寻常的含义。 7月初,美国Cadence Design Systems宣布他们的几个系统设计和功能验证工具已经在Intel第三代10nm三栅极工艺上(这里应该指的是14nm FinFET,因为从工艺对比上看,台积电三星的16nm FinFET对应的是英特尔的20nm
2017-02-10 04:45:01226

解密业界首款16nm产品核心技术

以赛灵思 20nm UltraScale 系列的成功为基础,赛灵思现又推出了全新的 16nm UltraScale+ 系列 FPGA、3D IC 和 MPSoC,凭借新型存储器、3D-on-3D 和多处理SoC(MPSoC)技术,再次领先一代提供了遥遥领先的价值优势。
2017-02-11 16:08:11660

16nm还有10nm工艺,哪个更利于联发科提高芯片竞争力?

据报道,全球第二大手机芯片企业联发科在近日确定减少对台积电6月至8月约三分之一的订单,在当前的环境下是一个合适的选择,转而采用16nm FinFET工艺和10nm工艺可以更好的应对高通等芯片企业的竞争。
2017-05-02 09:59:01721

联发科Helio P23处理器曝光:16nm神U杀到

据悉,Helio P23依然采用16nm制程,已知特性包括基带支持Cat.7、GPU直接移植自X30(PowerVR 7XT)。
2017-05-11 11:14:138282

三星S8的10nm骁龙835为何跟华为P10的16nm麒麟960性能相当

骁龙835的手机,而在跑分测试中,10nm制程工艺的骁龙835CPU性能跟采用16nm制程工艺的麒麟960性能差别不大,这是为什么呢?
2017-05-16 11:40:481578

16nm/10nm/7nm处理器差距有多大?为你解答

我们要先搞清楚什么是制程。那些20nm16nm什么的到底代表了什么。其实这些数值所代表的都是一个东西,那就是处理器的蚀刻尺寸,简单的讲,就是我们能够把一个单位的电晶体刻在多大尺寸的一块芯片上。
2017-07-05 09:24:482962

什么是半导体工艺制程16nm、10nm都代表了什么

随着智能手机的发展,半导体工艺也急速提升,从28nm16nm、10nm到7nm这些半导体代工厂们每天争相发布最新的工艺制程,让很多吃瓜群众一脸懵逼不知道有啥用。
2018-06-10 01:38:0046910

仅次于10nm工艺,台积电引入最先进16nm工艺,预计明年5月投产

台积电南京工厂将会在明年5月提前量产30mm晶圆,据悉,台积电会引进16nm FinFET制造工艺,仅次于10nm FinFET,并在南京设立一个设计服务中心来吸引客户订单。
2017-12-10 09:30:46910

华为如何评价其最先量产16nm工艺芯片?

处理器的洗礼,在麒麟950处理器上已经成熟起来,这款处理器号称三项世界第一——首个商用A72 CPU核心、首个16nm FinFET Plus工艺以及首个商用Mali-T880 GPU核心。不过麒麟950
2018-02-18 07:55:48569

Platform 中的多项工具通过TSMC最新版5nm FinFET 和 7nm FinFET Plus 工艺的认证

TSMC最新版5nm FinFET 和 7nm FinFET Plus 工艺的认证。Mentor 同时宣布,已更新了 Calibre nmPlatform 工具,可支持TSMC的晶圆堆叠封装 (WoW)技术
2018-05-17 15:19:003391

ANSYS宣布14纳米FinFET制程技术获联电认证

ANSYS宣布其ANSYS RedHawk和ANSYSR Totem获联华电子(UMC)的先进14纳米FinFET制程技术认证。ANSYS和联电透过认证和完整套装半导体设计解决方案,支援共同客户满足下一代行动和高效能运算(HPC)应用不断成长的需求。
2018-07-17 16:46:003391

Xilinx宣布扩展其16nm UltraScale+ 产品路线图,加速强化技术

赛灵思公司 (Xilinx)今天宣布扩展其16nm UltraScale+ 产品路线图,面向数据中心新增加速强化技术。其成品将可以提供赛灵思业界领先的16nm FinFET+ FPGA与集成
2018-08-19 09:19:00968

中芯国际在先进工艺制程上可望加快追赶海外企业的速度

梁孟松是台积电前研发处长,是台积电FinFET工艺的技术负责人,而FinFET工艺是芯片制造工艺从28nm往20nm工艺以下演进的关键,2014年台积电研发出16nm工艺之后因制程能效甚至不
2018-09-02 09:00:133310

Xilinx 16nm Kintex UltraScale+器件的性能、功耗和灵活性介绍

该视频重点介绍了Xilinx 16nm Kintex UltraScale +器件中双工作电压的性能,功耗和灵活性。
2018-11-21 06:11:004627

Xilinx 16nm Virtex UltraScale+ FPGA器件的功能

在本视频中,了解Xilinx采用高带宽存储器(HBM)和CCIX技术的16nm Virtex UltraScale + FPGA的功能和存储器带宽。
2018-11-27 06:20:003624

Xilinx 16nm Virtex UltraScale+ FPGA的展示

另一个行业首先,该演示展示了Xilinx 16nm Virtex UltraScale + FPGA,其集成的100G以太网MAC和RS-FEC协同工作,通过具有挑战性的电气或光学互连发送数据。
2018-11-27 05:55:003289

Xilinx 16nm UltraScale+系列产品的发布

赛灵思率先发布业界首款16nm产品,Xilinx 16nm UltraScale +系列产品(FPGA,3D IC和MPSoC)结合了全新的内存,3D-on-3D,以及多处理SoC(MPSoC)技术
2018-11-22 06:49:004316

28nm制程新增产能陆续开出 供过于求问题亟待解决

台积电拥有16nm至7nm制程与产能优势,因而让苹果(Apple)A12与海思麒麟980等高端智能手机核心芯片得以大量出货。
2018-12-29 09:27:053381

赛灵思开始接受16nm器件订单

All Programmable 技术和器件的全球领先企业赛灵思公司(Xilinx, Inc. (NASDAQ:XLNX))宣布:16nm UltraScale+ 产品组合提前达成重要的量产里程碑,本季度开始接受量产器件订单。
2019-08-01 16:10:442295

Cadence 数字全流程解决方案通过三星5LPE工艺认证

采用极紫外(EUV)光刻技术的Cadence 数字全流程解决方案已通过Samsung Foundry 5nm早期低功耗版(5LPE)工艺认证
2019-07-11 16:36:473436

16nm制程仍是台积电营收的主力军

台积电的28nm制程在2011年投入量产后,营收占比只用了一年时间就从2%爬升到了22%,迅速扩张的先进产能帮助台积电在每一个先进制程节点都能抢占客户资源、扩大先发优势,并使其产能结构明显优于竞争对手,用更高的产品附加值带来了更高的毛利率。
2020-10-10 15:24:542530

半导体制程发展:28nm向3nm的“大跃进”

虽然高端市场会被 7nm、10nm以及14nm/16nm工艺占据,但40nm、28nm等并不会退出。如28nm16nm工艺现在仍然是台积电的营收主力,中芯国际则在持续提高28nm良率。
2020-10-15 11:18:024719

Sondrel将通过5nm设计支持7nm

Curren说:“我们是在这些先进节点上从事三星和台积电工作的为数不多的设计公司之一。首先,由于它们总是非常大且复杂,在设计中需要数十亿个门,因此需要一大批经验丰富的设计工程师组成的团队。例如,我们最近完成了16nm设计,需要一百多人全职工作一年以上。通常仅在大型
2021-02-04 17:39:4464033

台积电 FinFET 和三星 GAA 在 3nm 制程技术遇瓶颈,量产时间恐将推迟

据 Digitimes 报道,业内人士透露,台积电 FinFET 和三星 GAA 在 3nm 制程技术的开发过程中都遇到了不同但关键的瓶颈。报道称,台积电和三星因此将不得不推迟 3nm 制程工艺
2021-01-04 16:20:102277

三星正式宣布3nm成功流片,性能将完胜台积电

据外媒最新报道,三星宣布,3nm制程技术已经正式流片! 据悉,三星的3nm制程采用的是GAA架构,性能上完胜台积电的3nm FinFET架构! 据报导,三星在3nm制程的流片进度是与新思科技合作完成
2021-07-01 15:27:444315

易灵思16nm FPGA助力汽车市场发展 天玑智慧监管解决方案亮相推进会

针对新能源汽车中的自动驾驶、智能座舱和电气化应用,易灵思推出40nm Trion系列中T13F169/F256和T20F169/F256共四颗车规级FPGA,同时16nm钛金系列Ti60F225将于今年7月完成车规认证,届时将会成为本土首颗车规级16nm FPGA产品。
2022-03-07 11:05:291320

利用FPGA的可编程能力以及相关的工具来准确估算功耗

AMD-Xilinx在20nm & 16nm节点Ultrascale系列器件使用FinFET工艺,FinFET与Planar相比在相同速度条件下功耗低20%-50%。
2022-12-29 14:44:491165

台积电官方对外开放16nm FinFET技术

台积电官网宣布推出大学FinFET专案,目的在于培育未来半导体芯片设计人才并推动全球学术创新。
2023-02-08 11:21:01279

基于20nm工艺制程的FPGA—UltraScale介绍

UltraScale是基于20nm工艺制程的FPGA,而UltraScale+则是基于16nm工艺制程的FPGA。
2023-03-09 14:12:544129

IP_数据表(Z-3):GPIO for TSMC 16nm FF+

IP_数据表(Z-3):GPIO for TSMC 16nm FF+
2023-03-16 19:34:181

本周五|从6nm16nm,毫米波IC设计如何一“波”搞定?

‍ ‍     原文标题:本周五|从6nm16nm,毫米波IC设计如何一“波”搞定? 文章出处:【微信公众号:新思科技】欢迎添加关注!文章转载请注明出处。
2023-03-27 22:50:02470

先进制程工艺止步14nm制程的原因有哪些?

台积电的16nm有多个版本,包括16nm FinFET16nm FinFET Plus技术(16FF +)和16nm FinFET Compact技术(16FFC)。
2023-04-14 10:58:15636

台积电向学界开放16nm FinFET技术

台积电宣布推出大学FinFET专案,目的在于培育未来半导体芯片设计人才并推动全球学术创新。
2023-04-23 09:29:035165

Cadence基于AI的Cadence Virtuoso Studio设计工具获得认证

,2023 年 6 月 30 日——楷登电子(美国  Cadence  公司,NASDAQ:CDNS)近日宣布,基于 AI 的 Cadence Virtuoso Studio 设计工具和解决方案已获得 Samsung Foundry 认证。 双方的共同客户可以放心利用 Virtuoso Studio 和
2023-06-30 10:08:30681

IP_数据表(Z-3):GPIO for TSMC 16nm FF+

IP_数据表(Z-3):GPIO for TSMC 16nm FF+
2023-07-06 20:20:310

Cadence 数字、定制/模拟设计流程通过认证,Design IP 现已支持 Intel 16 FinFET 制程

流程现已通过 Intel 16 FinFET 工艺技术认证,其 Design IP 现可支持 Intel Foundry Services(IFS)的此工艺节点。 与此同时,Cadence 和 Intel 共同发布
2023-07-14 12:50:02381

英特尔全新16nm制程工艺有何优势

英特尔独立运作代工部门IFS后,将向三方开放芯片制造加工服务,可能是为了吸引客户,英特尔日前发布了全新的16nm制程工艺。
2023-07-15 11:32:58757

Cadence EMX 3D Planar Solver 通过 Samsung Foundry 8nm LPP 工艺技术认证

Samsung Foundry 的 8nm Low Power Plus(LPP)先进制程工艺认证。 EMX Solver 是市面上首个获得此认证的电磁(EM)求解器,成功达到三星的各项认证标准。双方的共同客户可以安心使用 EMX Solver 用
2023-11-15 15:55:02360

制程突破战,求稳还是求快?

对于芯片产业来说,制程工艺是一个大家耳熟能详的一个词。然而随着摩尔定律的限制,不少厂商都在寻找继续突破的办法。为了从22nm过渡到16nm,几乎所有从事半导体制造的领头羊企业都选择从平面晶体管转为FinFET晶体管,由平面转向立体。
2020-10-29 09:02:092086

已全部加载完成